Part Number Hot Search : 
1N4007 2SB991 DA120 2107LT 3362S202 IDT77305 K4S5616 25L80
Product Description
Full Text Search
 

To Download XC3042A-6PC84C Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  november 9, 1998 (version 3.1) 7-3 7 features ? complete line of four related field programmable gate array product families - xc3000a, xc3000l, xc3100a, xc3100l ? ideal for a wide range of custom vlsi design tasks - replaces ttl, msi, and other pld logic - integrates complete sub-systems into a single package - avoids the nre, time delay, and risk of conventional masked gate arrays ? high-performance cmos static memory technology - guaranteed toggle rates of 70 to 370 mhz, logic delays from 7 to 1.5 ns - system clock speeds over 85 mhz - low quiescent and active power consumption ? flexible fpga architecture - compatible arrays ranging from 1,000 to 7,500 gate complexity - extensive register, combinatorial, and i/o capabilities - high fan-out signal distribution, low-skew clock nets - internal 3-state bus capabilities - ttl or cmos input thresholds - on-chip crystal oscillator amplifier ? unlimited reprogrammability - easy design iteration - in-system logic changes ? extensive packaging options - over 20 different packages - plastic and ceramic surface-mount and pin-grid- array packages - thin and very thin quad flat pack (tqfp and vqfp) options ? ready for volume production - standard, off-the-shelf product availability - 100% factory pre-tested devices - excellent reliability record ? complete development system - schematic capture, automatic place and route - logic and timing simulation - interactive design editor for design optimization - timing calculator - interfaces to popular design environments like viewlogic, cadence, mentor graphics, and others additional xc3100a features ? ultra-high-speed fpga family with six members - 50-85 mhz system clock rates - 190 to 370 mhz guaranteed flip-flop toggle rates - 1.55 to 4.1 ns logic delays ? high-end additional family member in the 22 x 22 clb array-size xc3195a device ? 8 ma output sink current and 8 ma source current ? maximum power-down and quiescent current is 5 ma ? 100% architecture and pin-out compatible with other xc3000 families ? software and bitstream compatible with the xc3000, xc3000a, and xc3000l families xc3100a combines the features of the xc3000a and xc3100 families: ? additional interconnect resources for tbufs and ce inputs ? error checking of the configuration bitstream ? soft startup holds all outputs slew-rate limited during initial power-up ? more advanced cmos process low-voltage versions available ? low-voltage devices function at 3.0 - 3.6 v ? xc3000l - low-voltage versions of xc3000a devices ? xc3100l - low-voltage versions of xc3100a devices 0 xc3000 series field programmable gate arrays (xc3000a/l, xc3100a/l) november 9, 1998 (version 3.1) 07* product description r device max logic gates typical gate range clbs array user i/os max flip-flops horizontal longlines configuration data bits xc3020a, 3020l, 3120a 1,500 1,000 - 1,500 64 8 x 8 64 256 16 14,779 xc3030a, 3030l, 3130a 2,000 1,500 - 2,000 100 10 x 10 80 360 20 22,176 xc3042a, 3042l, 3142a, 3142l 3,000 2,000 - 3,000 144 12 x 12 96 480 24 30,784 xc3064a, 3064l, 3164a 4,500 3,500 - 4,500 224 16 x 14 120 688 32 46,064 xc3090a, 3090l, 3190a, 3190l 6,000 5,000 - 6,000 320 16 x 20 144 928 40 64,160 xc3195a 7,500 6,500 - 7,500 484 22 x 22 176 1,320 44 94,984
r xc3000 series field programmable gate arrays 7-4 november 9, 1998 (version 3.1) introduction xc3000-series field programmable gate arrays (fpgas) provide a group of high-performance, high-density, digital integrated circuits. their regular, extendable, flexible, user-programmable array architecture is composed of a configuration program store plus three types of config- urable elements: a perimeter of i/o blocks (iobs), a core array of configurable logic bocks (clbs) and resources for interconnection. the general structure of an fpga is shown in figure 2 . the development system provides schematic capture and auto place-and-route for design entry. logic and timing simulation, and in-circuit emulation are available as design verification alternatives. the design editor is used for interactive design optimization, and to compile the data pattern that represents the configuration program. the fpga user logic functions and interconnections are determined by the configuration program data stored in internal static memory cells. the program can be loaded in any of several modes to accommodate various system requirements. the program data resides externally in an eeprom, eprom or rom on the application circuit board, or on a floppy disk or hard disk. on-chip initialization logic provides for optional automatic loading of program data at power-up. the companion xc17xx serial configu- ration proms provide a very simple serial configuration program storage in a one-time programmable package. the xc3000 field programmable gate array families pro- vide a variety of logic capacities, package styles, tempera- ture ranges and speed grades. xc3000 series overview there are now four distinct family groupings within the xc3000 series of fpga devices: ? xc3000a family ? xc3000l family ? xc3100a family ? xc3100l family all four families share a common architecture, develop- ment software, design and programming methodology, and also common package pin-outs. an extensive product description covers these common aspects. detailed parametric information for the xc3000a, xc3000l, xc3100a, and xc3100l product families is then provided. (the xc3000 and xc3100 families are not rec- ommended for new designs.) here is a simple overview of those xc3000 products cur- rently emphasized: ? xc3000a family the xc3000a is an enhanced version of the basic xc3000 family, featuring additional interconnect resources and other user-friendly enhancements. ? xc3000l family the xc3000l is identical in architecture and features to the xc3000a family, but operates at a nominal supply voltage of 3.3 v. the xc3000l is the right solution for battery-operated and low-power applications. ? xc3100a family the xc3100a is a performance-optimized relative of the xc3000a family. while both families are bitstream and footprint compatible, the xc3100a family extends toggle rates to 370 mhz and in-system performance to over 80 mhz. the xc3100a family also offers one additional array size, the xc3195a. ? xc3100l family the xc3100l is identical in architectures and features to the xc3100a family, but operates at a nominal supply voltage of 3.3v. figure 1 illustrates the relationships between the families. compared to the original xc3000 family, xc3000a offers additional functionality and increased speed. the xc3000l family offers the same additional functionality, but reduced speed due to its lower supply voltage of 3.3 v. the xc3100a family offers substantially higher speed and higher density with the xc3195a. new xc3000 series compared to original xc3000 family for readers already familiar with the original xc3000 family of fpgas, the major new features in the xc3000a, xc3000l, xc3100a, and xc3100l families are listed in this section. all of these new families are upward-compatible extensions of the original xc3000 fpga architecture. any bitstream used to configure an xc3000 device will configure the cor- responding xc3000a, xc3000l, xc3100a, or xc3100l device exactly the same way. the xc3100a and xc3100l fpga architectures are upward-compatible extensions of the xc3000a and xc3000l architectures. any bitstream used to configure an xc3000a or xc3000l device will configure the corre- sponding xc3100a or xc3100l device exactly the same way.
r november 9, 1998 (version 3.1) 7-5 xc3000 series field programmable gate arrays 7 improvements in the xc3000a and xc3000l families the xc3000a and xc3000l families offer the following enhancements over the popular xc3000 family: the xc3000a and xc3000l families have additional inter- connect resources to drive the i-inputs of tbufs driving horizontal longlines. the clb clock enable input can be driven from a second vertical longline. these two additions result in more efficient and faster designs when horizontal longlines are used for data bussing. during configuration, the xc3000a and xc3000l devices check the bit-stream format for stop bits in the appropriate positions. any error terminates the configuration and pulls init low. when the configuration process is finished and the device starts up in user mode, the first activation of the outputs is automatically slew-rate limited. this feature, called soft startup, avoids the potential ground bounce when all out-puts are turned on simultaneously. after start-up, the slew rate of the individual outputs is, as in the xc3000 fam- ily, determined by the individual configuration option. improvements in the xc3100a and xc3100l families based on a more advanced cmos process, the xc3100a and xc3100l families are architecturally-identical, perfor- mance-optimized relatives of the xc3000a and xc3000l families. while all families are footprint compatible, the xc3100a family extends achievable system performance beyond 85 mhz. xc3100 xc3100a (xc3195a) gate capacity x7068 functionality xc3000l xc3000a xc3100l speed figure 1: xc3000 fpga families
r xc3000 series field programmable gate arrays 7-6 november 9, 1998 (version 3.1) detailed functional description the perimeter of configurable input/output blocks (iobs) provides a programmable interface between the internal logic array and the device package pins. the array of con- figurable logic blocks (clbs) performs user-specified logic functions. the interconnect resources are programmed to form networks, carrying logic signals among blocks, analo- gous to printed circuit board traces connecting msi/ssi packages. the block logic functions are implemented by programmed look-up tables. functional options are implemented by pro- gram-controlled multiplexers. interconnecting networks between blocks are implemented with metal segments joined by program-controlled pass transistors. these fpga functions are established by a configuration program which is loaded into an internal, distributed array of configuration memory cells. the configuration program is loaded into the device at power-up and may be reloaded on command. the fpga includes logic and control signals to implement automatic or passive configuration. program data may be either bit serial or byte parallel. the develop- ment system generates the configuration program bit- stream used to configure the device. the memory loading process is independent of the user logic functions. configuration memory the static memory cell used for the configuration memory in the field programmable gate array has been designed specifically for high reliability and noise immunity. integrity of the device configuration memory based on this design is assured even under adverse conditions. as shown in figure 3 , the basic memory cell consists of two cmos inverters plus a pass transistor used for writing and reading cell data. the cell is only written during configuration and only read during readback. during normal operation, the cell provides continuous control and the pass transistor is off and does not affect cell stability. this is quite different from the operation of conventional memory devices, in which the cells are frequently read and rewritten. p9 p8 p7 p6 p5 p4 p3 p2 gnd pwr dn p11 p12 p13 u61 tcl kin ad ac ab aa 3-state buffers with access to horizontal long lines configurable logic blocks interconnect area bb ba frame pointer configuration memory i/o blocks x3241 figure 2: field programmable gate array structure. it consists of a perimeter of programmable i/o blocks, a core of configurable logic blocks and their interconnect resources. these are all controlled by the distributed array of configuration program memory cells.
r november 9, 1998 (version 3.1) 7-7 xc3000 series field programmable gate arrays 7 the memory cell outputs q and q use ground and v cc lev- els and provide continuous, direct control. the additional capacitive load together with the absence of address decoding and sense amplifiers provide high stability to the cell. due to the structure of the configuration memory cells, they are not affected by extreme power-supply excursions or very high levels of alpha particle radiation. in reliability testing, no soft errors have been observed even in the presence of very high doses of alpha radiation. the method of loading the configuration data is selectable. two methods use serial data, while three use byte-wide data. the internal configuration logic utilizes framing infor- mation, embedded in the program data by the development system, to direct memory-cell loading. the serial-data framing and length-count preamble provide programming compatibility for mixes of various fpga device devices in a synchronous, serial, daisy-chain fashion. i/o block each user-configurable iob shown in figure 4 , provides an interface between the external package pin of the device and the internal user logic. each iob includes both regis- tered and direct input paths. each iob provides a program- mable 3-state output buffer, which may be driven by a registered or direct output signal. configuration options allow each iob an inversion, a controlled slew rate and a high impedance pull-up. each input circuit also provides input clamping diodes to provide electrostatic protection, and circuits to inhibit latch-up produced by input currents. q data read or write configuration control q x5382 figure 3: static configuration memory cell. it is loaded with one bit of configuration program and con- trols one program selection in the field programmable gate array. flip flop q d r slew rate passive pull up output select 3-state invert out invert flip flop or latch d q r registered in direct in out 3- state (output enable) ttl or cmos input threshold output buffer (global reset) ck1 x3029 i/o pad vcc program-controlled memory cells programmable interconnection point or pip = ik ok q i o t program controlled multiplexer ck2 figure 4: input/output block. each iob includes input and output storage elements and i/o options selected by configuration memory cells. a choice of two clocks is available on each die edge. the polarity of each clock line (not each flip-flop or latch) is programmable. a clock line that triggers the flip-flop on the rising edge is an active low latch enable (latch transparent) signal and vice versa. passive pull-up can only be enabled on inputs, not on outputs. all user inputs are programmed for ttl or cmos thresholds.
r xc3000 series field programmable gate arrays 7-8 november 9, 1998 (version 3.1) the input-buffer portion of each iob provides threshold detection to translate external signals applied to the pack- age pin to internal logic levels. the global input-buffer threshold of the iobs can be programmed to be compatible with either ttl or cmos levels. the buffered input signal drives the data input of a storage element, which may be configured as either a flip-flop or a latch. the clocking polarity (rising/falling edge-triggered flip-flop, high/low transparent latch) is programmable for each of the two clock lines on each of the four die edges. note that a clock line driving a rising edge-triggered flip-flop makes any latch driven by the same line on the same edge low-level trans- parent and vice versa ( falling edge, high transparent). all xilinx primitives in the supported schematic-entry pack- ages, however, are positive edge-triggered flip-flops or high transparent latches. when one clock line must drive flip-flops as well as latches, it is necessary to compensate for the difference in clocking polarities with an additional inverter either in the flip-flop clock input or the latch-enable input. i/o storage elements are reset during configuration or by the active-low chip reset input. both direct input (from iob pin i) and registered input (from iob pin q) sig- nals are available for interconnect. for reliable operation, inputs should have transition times of less than 100 ns and should not be left floating. floating cmos input-pin circuits might be at threshold and produce oscillations. this can produce additional power dissipation and system noise. a typical hysteresis of about 300 mv reduces sensitivity to input noise. each user iob includes a programmable high-impedance pull-up resistor, which may be selected by the program to provide a constant high for otherwise undriven package pins. although the field pro- grammable gate array provides circuitry to provide input protection for electrostatic discharge, normal cmos han- dling precautions should be observed. flip-flop loop delays for the iob and logic-block flip-flops are short, providing good performance under asynchro- nous clock and data conditions. short loop delays minimize the probability of a metastable condition that can result from assertion of the clock during data transitions. because of the short-loop-delay characteristic in the field program- mable gate array, the iob flip-flops can be used to syn- chronize external signals applied to the device. once synchronized in the iob, the signals can be used internally without further consideration of their clock relative timing, except as it applies to the internal logic and routing-path delays. iob output buffers provide cmos-compatible 4-ma source-or-sink drive for high fan-out cmos or ttl- com- patible signal levels (8 ma in the xc3100a family). the net- work driving iob pin o becomes the registered or direct data source for the output buffer. the 3-state control signal (iob) pin t can control output activity. an open-drain output may be obtained by using the same signal for driving the output and 3-state signal nets so that the buffer output is enabled only for a low. configuration program bits for each iob control features such as optional output register, logic signal inversion, and 3-state and slew-rate control of the output. the program-controlled memory cells of figure 4 control the following options. ? logic inversion of the output is controlled by one configuration program bit per iob. ? logic 3-state control of each iob output buffer is determined by the states of configuration program bits that turn the buffer on, or off, or select the output buffer 3-state control interconnection (iob pin t). when this iob output control signal is high, a logic one, the buffer is disabled and the package pin is high impedance. when this iob output control signal is low, a logic zero, the buffer is enabled and the package pin is active. inversion of the buffer 3-state control-logic sense (output enable) is controlled by an additional configuration program bit. ? direct or registered output is selectable for each iob. the register uses a positive-edge, clocked flip-flop. the clock source may be supplied (iob pin ok) by either of two metal lines available along each die edge. each of these lines is driven by an invertible buffer. ? increased output transition speed can be selected to improve critical timing. slower transitions reduce capacitive-load peak currents of non-critical outputs and minimize system noise. ? an internal high-impedance pull-up resistor (active by default) prevents unconnected inputs from floating. unlike the original xc3000 series, the xc3000a, xc3000l, xc3100a, and xc3100l families include the soft startup feature. when the configuration process is fin- ished and the device starts up in user mode, the first activa- tion of the outputs is automatically slew-rate limited. this feature avoids potential ground bounce when all outputs are turned on simultaneously. after start-up, the slew rate of the individual outputs is determined by the individual configuration option. summary of i/o options ? inputs -direct - flip-flop/latch - cmos/ttl threshold (chip inputs) - pull-up resistor/open circuit ? outputs - direct/registered - inverted/not - 3-state/on/off - full speed/slew limited - 3-state/output enable (inverse)
r november 9, 1998 (version 3.1) 7-9 xc3000 series field programmable gate arrays 7 configurable logic block the array of clbs provides the functional elements from which the users logic is constructed. the logic blocks are arranged in a matrix within the perimeter of iobs. for example, the xc3020a has 64 such blocks arranged in 8 rows and 8 columns. the development system is used to compile the configuration data which is to be loaded into the internal configuration memory to define the operation and interconnection of each block. user definition of clbs and their interconnecting networks may be done by auto- matic translation from a schematic-capture logic diagram or optionally by installing library or user macros. each clb has a combinatorial logic section, two flip-flops, and an internal control section. see figure 5 . there are: five logic inputs (a, b, c, d and e); a common clock input (k); an asynchronous direct reset input (rd); and an enable clock (ec). all may be driven from the interconnect resources adjacent to the blocks. each clb also has two outputs (x and y) which may drive interconnect networks. data input for either flip-flop within a clb is supplied from the function f or g outputs of the combinatorial logic, or the block input, di. both flip-flops in each clb share the asyn- chronous rd which, when enabled and high, is dominant over clocked inputs. all flip-flops are reset by the active-low chip input, reset , or during the configuration process. the flip-flops share the enable clock (ec) which, when low, recirculates the flip-flops present states and inhibits response to the data-in or combinatorial function inputs on a clb. the user may enable these control inputs and select their sources. the user may also select the clock net input (k), as well as its active sense within each clb. this programmable inversion eliminates the need to route both phases of a clock signal throughout the device. q combinatorial function logic variables d rd g f din f g qx qy din f g g qy qx f q d rd enable clock clock direct reset 1 (enable) a b c d e di ec k rd y x x3032 0 (inhibit) (global reset) clb outputs data in 0 1 0 1 mux mux figure 5: configurable logic block. each clb includes a combinatorial logic section, two flip-flops and a program memory controlled multiplexer selection of function. it has the following: - five logic variable inputs a, b, c, d, and e - a direct data in di - an enable clock ec - a clock (invertible) k - an asynchronous direct reset rd - two outputs x and y
r xc3000 series field programmable gate arrays 7-10 november 9, 1998 (version 3.1) flexible routing allows use of common or individual clb clocking. the combinatorial-logic portion of the clb uses a 32 by 1 look-up table to implement boolean functions. variables selected from the five logic inputs and two internal block flip-flops are used as table address inputs. the combinato- rial propagation delay through the network is independent of the logic function generated and is spike free for single input variable changes. this technique can generate two independent logic functions of up to four variables each as shown in figure 6a, or a single function of five variables as shown in figure 6b, or some functions of seven variables as shown in figure 6c. figure 7 shows a modulo-8 binary counter with parallel enable. it uses one clb of each type. the partial functions of six or seven variables are imple- mented using the input variable (e) to dynamically select between two functions of four different variables. for the two functions of four variables each, the independent results (f and g) may be used as data inputs to either flip-flop or either logic block output. for the single function of five variables and merged functions of six or seven vari- ables, the f and g outputs are identical. symmetry of the f and g functions and the flip-flops allows the interchange of clb outputs to optimize routing efficiencies of the networks interconnecting the clbs and iobs. programmable interconnect programmable-interconnection resources in the field pro- grammable gate array provide routing paths to connect inputs and outputs of the iobs and clbs into logic net- works. interconnections between blocks are composed of a two-layer grid of metal segments. specially designed pass transistors, each controlled by a configuration bit, form pro- grammable interconnect points (pips) and switching matri- ces used to implement the necessary connections between selected metal segments and block pins. figure 8 is an example of a routed net. the development system provides automatic routing of these interconnections. interactive routing is also available for design optimization. the inputs of the clbs or iobs are multiplexers which can be pro- grammed to select an input network from the adjacent interconnect segments. since the switch connections to block inputs are unidirectional, as are block outputs, they are usable only for block input connection and not for routing. figure 9 illustrates routing access to logic block input variables, control inputs and block outputs. three types of metal resources are provided to accommo- date various network interconnect requirements. ? general purpose interconnect ? direct connection ? longlines (multiplexed busses and wide and gates) qy any function of up to 4 variables qy any function of up to 4 variables qy any function of 5 variables qy any function of up to 4 variables qy any function of up to 4 variables 5c 5b 5a qx qx qx qx qx a b c d a b c d e e a b c d e d a b c d c a b m u x f g f g f g e x5442 fgm mode figure 6: combinational logic options 6a. combinatorial logic option fg generates two func- tions of four variables each. one variable, a, must be common to both functions. the second and third variable can be any choice of b, c, qx and qy. the fourth vari- able can be any choice of d or e. 6b. combinatorial logic option f generates any function of five variables: a, d, e and two choices out of b, c, qx, qy. 6c. combinatorial logic option fgm allows variable e to select between two functions of four variables: both have common inputs a and d and any choice out of b, c, qx and qy for the remaining two variables. option 3 can then implement some functions of six or seven variables.
r november 9, 1998 (version 3.1) 7-11 xc3000 series field programmable gate arrays 7 general purpose interconnect general purpose interconnect, as shown in figure 10 , con- sists of a grid of five horizontal and five vertical metal seg- ments located between the rows and columns of logic and iobs. each segment is the height or width of a logic block. switching matrices join the ends of these segments and allow programmed interconnections between the metal grid segments of adjoining rows and columns. the switches of an unprogrammed device are all non-conducting. the con- nections through the switch matrix may be established by the automatic routing or by selecting the desired pairs of matrix pins to be connected or disconnected. the legiti- mate switching matrix combinations for each pin are indi- cated in figure 11 . special buffers within the general interconnect areas pro- vide periodic signal isolation and restoration for improved performance of lengthy nets. the interconnect buffers are available to propagate signals in either direction on a given general interconnect segment. these bidirectional (bidi) buffers are found adjacent to the switching matrices, above and to the right. the other pips adjacent to the matrices are accessed to or from longlines. the development sys- tem automatically defines the buffer direction based on the location of the interconnection network source. the delay calculator of the development system automatically calcu- lates and displays the block, interconnect and buffer delays for any paths selected. generation of the simulation netlist with a worst-case delay model is provided. direct interconnect direct interconnect, shown in figure 12 , provides the most efficient implementation of networks between adjacent clbs or i/o blocks. signals routed from block to block using the direct interconnect exhibit minimum interconnect propagation and use no general interconnect resources. for each clb, the x output may be connected directly to the b input of the clb immediately to its right and to the c input of the clb to its left. the y output can use direct inter- connect to drive the d input of the block immediately above and the a input of the block below. direct interconnect should be used to maximize the speed of high-performance portions of logic. where logic blocks are adjacent to iobs, direct connect is provided alternately to the iob inputs (i) and outputs (o) on all four edges of the die. the right edge provides additional direct connects from clb outputs to adjacent iobs. direct interconnections of iobs with clbs are shown in figure 13 . d q d q d q count enable parallel enable clock d2 d1 d0 dual function of 4 variables function of 6 variables function of 5 variables q2 q1 q0 fg mode f mode fgm mode terminal count x5383 figure 7: counter. the modulo-8 binary counter with parallel enable and clock enable uses one combinatorial logic block of each option. figure 8: a design editor view of routing resources used to form a typical interconnection network from clb ga.
r xc3000 series field programmable gate arrays 7-12 november 9, 1998 (version 3.1) figure 9: design editor locations of interconnect access, clb control inputs, logic inputs and outputs. the dot pattern represents the available programmable interconnection points (pips). some of the interconnect pips are directional.
r november 9, 1998 (version 3.1) 7-13 xc3000 series field programmable gate arrays 7 figure 10: fpga general-purpose interconnect. composed of a grid of metal segments that may be inter- connected through switch matrices to form networks for clb and iob inputs and outputs. figure 11: switch matrix interconnection options for each pin. switch matrices on the edges are different. figure 12: clb x and y outputs. the x and y outputs of each clb have single contact, direct access to inputs of adjacent clbs
r xc3000 series field programmable gate arrays 7-14 november 9, 1998 (version 3.1) figure 13: xc3020a die-edge iobs. the xc3020a die-edge iobs are provided with direct access to adjacent clbs. global buffer direct input global buffer inerconnect alternate buffer direct input * unbonded iobs (6 places)
r november 9, 1998 (version 3.1) 7-15 xc3000 series field programmable gate arrays 7 longlines the longlines bypass the switch matrices and are intended primarily for signals that must travel a long distance, or must have minimum skew among multiple destinations. longlines, shown in figure 14 , run vertically and horizon- tally the height or width of the interconnect area. each inter- connection column has three vertical longlines, and each interconnection row has two horizontal longlines. two additional longlines are located adjacent to the outer sets of switching matrices. in devices larger than the xc3020a and xc3120a fpgas, two vertical longlines in each col- umn are connectable half-length lines. on the xc3020a and xc3120a fpgas, only the outer longlines are con- nectable half-length lines. longlines can be driven by a logic block or iob output on a column-by-column basis. this capability provides a com- mon low skew control or clock line within each column of logic blocks. interconnections of these longlines are shown in figure 15 . isolation buffers are provided at each input to a longline and are enabled automatically by the development system when a connection is made. figure 14: horizontal and vertical longlines. these longlines provide high fan-out, low-skew signal distribution in each row and column. the global buffer in the upper left die corner drives a common line throughout the fpga.
r xc3000 series field programmable gate arrays 7-16 november 9, 1998 (version 3.1) figure 15: programmable interconnection of longlines. this is provided at the edges of the routing area. three-state buffers allow the use of horizontal longlines to form on-chip wired and and multiplexed buses. the left two non-clock vertical longlines per column (except xc3020a) and the outer perimeter longlines may be programmed as connectable half-length lines. v cc d a d b d c d n v cc z = d a d b d c ... d n x3036 (low) figure 16: 3-state buffers implement a wired-and function. when all the buffer 3-state lines are high, (high impedance), the pull-up resistor(s) provide the high output. the buffer inputs are driven by the control signals or a low. d a a d b b d c c d n n d a a ? =d b b ?d c c ? d n n z+ x1741a weak keeper circuit figure 17: 3-state buffers implement a multiplexer. the selection is accomplished by the buffer 3-state signal.
r november 9, 1998 (version 3.1) 7-17 xc3000 series field programmable gate arrays 7 a buffer in the upper left corner of the fpga chip drives a global net which is available to all k inputs of logic blocks. using the global buffer for a clock signal provides a skew-free, high fan-out, synchronized clock for use at any or all of the iobs and clbs. configuration bits for the k input to each logic block can select this global line or another routing resource as the clock source for its flip-flops. this net may also be programmed to drive the die edge clock lines for iob use. an enhanced speed, cmos threshold, direct access to this buffer is available at the sec- ond pad from the top of the left die edge. a buffer in the lower right corner of the array drives a hori- zontal longline that can drive programmed connections to a vertical longline in each interconnection column. this alternate buffer also has low skew and high fan-out. the network formed by this alternate buffers longlines can be selected to drive the k inputs of the clbs. cmos thresh- old, high speed access to this buffer is available from the third pad from the bottom of the right die edge. internal busses a pair of 3-state buffers, located adjacent to each clb, per- mits logic to drive the horizontal longlines. logic operation of the 3-state buffer controls allows them to implement wide multiplexing functions. any 3-state buffer input can be selected as drive for the horizontal long-line bus by apply- ing a low logic level on its 3-state control line. see figure 16 . the user is required to avoid contention which can result from multiple drivers with opposing logic levels. control of the 3-state input by the same signal that drives the buffer input, creates an open-drain wired-and function. a logic high on both buffer inputs creates a high imped- ance, which represents no contention. a logic low enables the buffer to drive the longline low. see figure 17 . pull-up resistors are available at each end of the longline to pro- vide a high output when all connected buffers are non-con- ducting. this forms fast, wide gating functions. when data drives the inputs, and separate signals drive the 3-state control lines, these buffers form multiplexers (3-state bus- ses). in this case, care must be used to prevent contention through multiple active buffers of conflicting levels on a common line. each horizontal longline is also driven by a weak keeper circuit that prevents undefined floating levels by maintaining the previous logic level when the line is not driven by an active buffer or a pull-up resistor. figure 18 shows 3-state buffers, longlines and pull-up resistors. 3-state control gg hg p40 p41 p42 p43 rst p46 .l x1245 .q .q os c p47 bcl kin p48 gh hh .lk .ck i/o clocks bidirectional interconnect buffers global net 3 vertical long lines per column horizontal long line pull-up resistor horizontal long line oscillator amplifier output directinput of p47 to auxiliary buffer crystal oscillator buffer 3-state input 3-state buffer alternate buffer d p g m figure 18: design editor. an extra large view of possible interconnections in the lower right corner of the xc3020a.
r xc3000 series field programmable gate arrays 7-18 november 9, 1998 (version 3.1) crystal oscillator figure 18 also shows the location of an internal high speed inverting amplifier that may be used to implement an on-chip crystal oscillator. it is associated with the auxiliary buffer in the lower right corner of the die. when the oscilla- tor is configured and connected as a signal source, two special user iobs are also configured to connect the oscil- lator amplifier with external crystal oscillator components as shown in figure 19 . a divide by two option is available to assure symmetry. the oscillator circuit becomes active early in the configuration process to allow the oscillator to stabilize. actual internal connection is delayed until com- pletion of configuration. in figure 19 the feedback resistor r1, between the output and input, biases the amplifier at threshold. the inversion of the amplifier, together with the r-c networks and an at-cut series resonant crystal, pro- duce the 360-degree phase shift of the pierce oscillator. a series resistor r2 may be included to add to the amplifier output impedance when needed for phase-shift control, crystal resistance matching, or to limit the amplifier input swing to control clipping at large amplitudes. excess feed- back voltage may be corrected by the ratio of c2/c1. the amplifier is designed to be used from 1 mhz to about one-half the specified clb toggle frequency. use at fre- quencies below 1 mhz may require individual characteriza- tion with respect to a series resistance. crystal oscillators above 20 mhz generally require a crystal which operates in a third overtone mode, where the fundamental frequency must be suppressed by an inductor across c2, turning this parallel resonant circuit to double the fundamental crystal frequency, i.e., 2/3 of the desired third harmonic frequency network. when the oscillator inverter is not used, these iobs and their package pins are available for general user i/o. alternate clock buffer xtal1 xtal2 (in) r1 r2 y1 c1 c2 internal external r1 r2 c1, c2 y1 suggested component values 0.5 ?1 m w 0 ?1 k w (may be required for low frequency, phase shift and/or compensation level for crystal q) 10 ?40 pf 1 ?20 mhz at-cut parallel resonant x7064 68 pin plcc 47 43 84 pin plcc 57 53 pga j11 l11 132 pin pga p13 m13 160 pin pqfp 82 76 xtal 1 (out) xtal 2 (in) 100 pin cqfp 67 61 pqfp 82 76 164 pin cqfp 105 99 44 pin plcc 30 26 175 pin pga t14 p15 208 pin pqfp 110 100 176 pin tqfp 91 85 d q figure 19: crystal oscillator inverter. when activated, and by selecting an output network for its buffer, the crystal oscillator inverter uses two unconfigured package pins and external components to implement an oscillator. an optional divide-by-two mode is available to assure symmetry.
r november 9, 1998 (version 3.1) 7-19 xc3000 series field programmable gate arrays 7 configuration initialization phase an internal power-on-reset circuit is triggered when power is applied. when v cc reaches the voltage at which portions of the fpga device begin to operate (nominally 2.5 to 3 v), the programmable i/o output buffers are 3-stated and a high-impedance pull-up resistor is provided for the user i/o pins. a time-out delay is initiated to allow the power supply voltage to stabilize. during this time the power-down mode is inhibited. the initialization state time-out (about 11 to 33 ms) is determined by a 14-bit counter driven by a self-generated internal timer. this nominal 1-mhz timer is subject to variations with process, temperature and power supply. as shown in table 1 , five configuration mode choices are available as determined by the input levels of three mode pins; m0, m1 and m2. in master configuration modes, the device becomes the source of the configuration clock (cclk). the beginning of configuration of devices using peripheral or slave modes must be delayed long enough for their initialization to be completed. an fpga with mode lines selecting a master configuration mode extends its initialization state using four times the delay (43 to 130 ms) to assure that all daisy-chained slave devices, which it may be driving, will be ready even if the master is very fast, and the slave(s) very slow. figure 20 shows the state sequences. at the end of initialization, the device enters the clear state where it clears the configuration memory. the active low, open-drain initialization signal init indicates when the ini- tialization and clear states are complete. the fpga tests for the absence of an external active low reset before it makes a final sample of the mode lines and enters the con- figuration state. an external wired-and of one or more init pins can be used to control configuration by the assertion of the active-low reset of a master mode device or to sig- nal a processor that the fpgas are not yet initialized. if a configuration has begun, a re-assertion of reset for a minimum of three internal timer cycles will be recognized and the fpga will initiate an abort, returning to the clear state to clear the partially loaded configuration memory words. the fpga will then resample reset and the mode lines before re-entering the configuration state. during configuration, the xc3000a, xc3000l, xc3100a, and xc3100l devices check the bit-stream format for stop bits in the appropriate positions. any error terminates the configuration and pulls init low. table 1: configuration mode choices m0 m1 m2 cclk mode data 0 0 0 output master bit serial 0 0 1 output master byte wide addr. = 0000 up 010 reserved 0 1 1 output master byte wide addr. = ffff down 1 0 0 reserved 1 0 1 output peripheral byte wide 1 1 0 reserved 1 1 1 input slave bit serial all user i/o pins 3-stated with high impedance pull-up, hdc=high, ldc=low initialization power-on time delay clear configuration memory test mode pins configuration program mode start-up operational mode power down no hdc, ldc or pull-up no x3399 init output = low clear is ~ 200 cycles for the xc3020a?30 to 400 s ~ 250 cycles for the xc3030a?65 to 500 s ~ 290 cycles for the xc3042a?95 to 580 s ~ 330 cycles for the xc3064a?20 to 660 s ~ 375 cycles for the xc3090a?50 to 750 s reset active pwrdwn inactive pwrdwn active active reset operates on user logic low on done/program and reset active reset power-on delay is 2 14 cycles for non-master mode?1 to 33 ms 2 16 cycles for master mode?3 to 130 ms figure 20: a state diagram of the configuration process for power-up and reprogram.
r xc3000 series field programmable gate arrays 7-20 november 9, 1998 (version 3.1) a re-program is initiated.when a configured xc3000 series device senses a high-to-low transition and subsequent >6 m s low level on the done/prog package pin, or, if this pin is externally held permanently low, a high-to-low tran- sition and subsequent >6 m s low time on the reset pack- age pin. the device returns to the clear state where the configura- tion memory is cleared and mode lines re-sampled, as for an aborted configuration. the complete configuration pro- gram is cleared and loaded during each configuration pro- gram cycle. length count control allows a system of multiple field pro- grammable gate arrays, of assorted sizes, to begin opera- tion in a synchronized fashion. the configuration program generated by the development system begins with a pre- amble of 111111110010 followed by a 24-bit length count representing the total number of configuration clocks needed to complete loading of the configuration pro- gram(s). the data framing is shown in figure 21 . all fpgas connected in series read and shift preamble and length count in on positive and out on negative configura- tion clock edges. a device which has received the pream- ble and length count then presents a high data out until it has intercepted the appropriate number of data frames. when the configuration program memory of an fpga is full and the length count does not yet compare, the device shifts any additional data through, as it did for preamble and length count. when the fpga configuration memory is full and the length count compares, the device will execute 11111111 0010 < 24-bit length count > 1111 0 111 0 111 0 111 . . . . . . . . . 0 111 0 111 1111 ?ummy bits* ?reamble code ?onfiguration program length ?ummy bits (4 bits minimum) for xc3120 197 configuration data frames (each frame consists of: a start bit (0) a 71-bit data field three stop bits postamble code (4 bits minimum) header program data repeated for each logic cell array in a daisy chain *the lca device require four dummy bits min; software generates eight dummy bits x5300_01 figure 21: internal configuration data structure for an fpga. this shows the preamble, length count and data frames generated by the development system. the length count produced by the program = [(40-bit preamble + sum of program data + 1 per daisy chain device) rounded up to multiple of 8] C (2 k 4) where k is a function of done and reset timing selected. an additional 8 is added if roundup increment is less than k. k additional clocks are needed to complete start-up after length count is reached. device xc3020a xc3020l xc3120a xc3030a xc3030l xc3130a xc3042a xc3042l xc3142a xc3142l xc3064a xc3064l xc3164a xc3090a xc3090l xc3190a xc3190l xc3195a gates 1,000 to 1,500 1,500 to 2,000 2,000 to 3,000 3,500 to 4,500 5,000 to 6,000 6,500 to 7,500 clbs 64 100 144 224 320 484 row x col (8 x 8) (10 x 10) (12 x 12) (16 x 14) (20 x 16) (22 x 22) iobs 64 80 96 120 144 176 flip-flops 256 360 480 688 928 1,320 horizontal longlines 16 20 24 32 40 44 tbufs/horizontal ll 9 11 13 15 17 23 bits per frame (including1 start and 3 stop bits) 75 92 108 140 172 188 frames 197 241 285 329 373 505 program data = bits x frames + 4 bits (excludes header) 14,779 22,176 30,784 46,064 64,160 94,944 prom size (bits) = program data + 40-bit header 14,819 22,216 30,824 46,104 64,200 94,984
r november 9, 1998 (version 3.1) 7-21 xc3000 series field programmable gate arrays 7 a synchronous start-up sequence and become operational. see figure 22 . two cclk cycles after the completion of loading configuration data, the user i/o pins are enabled as configured. as selected, the internal user-logic reset is released either one clock cycle before or after the i/o pins become active. a similar timing selection is programmable for the done/prog output signal. done/prog may also be programmed to be an open drain or include a pull-up resistor to accommodate wired anding. the high during configuration (hdc) and low during configuration (ldc ) are two user i/o pins which are driven active while an fpga is in its initialization, clear or configure states. they and done/prog provide signals for control of external logic signals such as reset, bus enable or prom enable during configuration. for parallel master configuration modes, these signals provide prom enable control and allow the data pins to be shared with user logic signals. user i/o inputs can be programmed to be either ttl or cmos compatible thresholds. at power-up, all inputs have ttl thresholds and can change to cmos thresholds at the completion of configuration if the user has selected cmos thresholds. the threshold of pwrdwn and the direct clock inputs are fixed at a cmos level. if the crystal oscillator is used, it will begin operation before configuration is complete to allow time for stabilization before it is connected to the internal circuitry. configuration data configuration data to define the function and interconnec- tion within a field programmable gate array is loaded from an external storage at power-up and after a re-program sig- nal. several methods of automatic and controlled loading of the required data are available. logic levels applied to mode selection pins at the start of configuration time deter- mine the method to be used. see table 1. the data may be either bit-serial or byte-parallel, depending on the configu- ration mode. the different fpgas have different sizes and numbers of data frames. to maintain compatibility between various device types, the xilinx product families use com- patible configuration formats. for the xc3020a, configura- tion requires 14779 bits for each device, arranged in 197 data frames. an additional 40 bits are used in the header. see figure 22 . the specific data format for each device is produced by the development system and one or more of these files can then be combined and appended to a length count preamble and be transformed into a prom format file by the development system. a compatibility exception precludes the use of an xc2000-series device as the mas- ter for xc3000-series devices if their done or reset are programmed to occur after their outputs become active. the tie option defines output levels of unused blocks of a design and connects these to unused routing resources. this prevents indeterminate levels that might produce par- asitic supply currents. if unused blocks are not sufficient to complete the tie, the user can indicate nets which must not preamble length count data 12 24 4 data frame start bit start bit 3 4 last frame postamble i/o active done internal reset length count* the configuration data consists of a composite 40-bit preamble/length count, followed by one or more concatenated fpga programs, separated by 4-bit postambles. an additional final postamble bit is added for each slave device and the result rounded up to a byte boundary. the length count is two less than the number of resulting bits. timing of the assertion of done and termination of the internal reset may each be programmed to occur one cycle before or after the i/o outputs become active. heavy lines indicate the default condition x5988 program weak pull-up * stop 3 stop din figure 22: configuration and start-up of one or more fpgas.
r xc3000 series field programmable gate arrays 7-22 november 9, 1998 (version 3.1) be used to drive the remaining unused routing, as that might affect timing of user nets. tie can be omitted for quick breadboard iterations where a few additional milliamps of icc are acceptable. the configuration bitstream begins with eight high pream- ble bits, a 4-bit preamble code and a 24-bit length count. when configuration is initiated, a counter in the fpga is set to zero and begins to count the total number of configura- tion clock cycles applied to the device. as each configura- tion data frame is supplied to the device, it is internally assembled into a data word, which is then loaded in parallel into one word of the internal configuration memory array. the configuration loading process is complete when the current length count equals the loaded length count and the required configuration program data frames have been written. internal user flip-flops are held reset during config- uration. two user-programmable pins are defined in the unconfig- ured field programmable gate array. high during config- uration (hdc) and low during configuration (ldc ) as well as done/prog may be used as external control signals during configuration. in master mode configurations it is convenient to use ldc as an active-low eprom chip enable. after the last configuration data bit is loaded and the length count compares, the user i/o pins become active. options allow timing choices of one clock earlier or later for the timing of the end of the internal logic reset and the assertion of the done signal. the open-drain done/prog output can be and-tied with multiple devices and used as an active-high ready, an active-low prom enable or a reset to other portions of the system. the state diagram of figure 20 illustrates the configuration pro- cess. configuration modes master mode in master mode, the fpga automatically loads configura- tion data from an external memory device. there are three master modes that use the internal timing source to supply the configuration clock (cclk) to time the incoming data. master serial mode uses serial configuration data supplied to data-in (din) from a synchronous serial source such as the xilinx serial configuration prom shown in figure 23 . master parallel low and high modes automatically use parallel data supplied to the d0Cd7 pins in response to the 16-bit address generated by the fpga. figure 25 shows an example of the parallel master mode connections required. the hex starting address is 0000 and increments for master low mode and it is ffff and decrements for master high mode. these two modes provide address compatibility with microprocessors which begin execution from opposite ends of memory. peripheral mode peripheral mode provides a simplified interface through which the device may be loaded byte-wide, as a processor peripheral. figure 27 shows the peripheral mode connec- tions. processor write cycles are decoded from the com- mon assertion of the active low write strobe (ws ), and two active low and one active high chip selects (cs0 , cs1 , cs2). the fpga generates a configuration clock from the internal timing generator and serializes the parallel input data for internal framing or for succeeding slaves on data out (dout). a output high on ready/busy pin indicates the completion of loading for each byte when the input reg- ister is ready for a new byte. as with master modes, periph- eral mode may also be used as a lead device for a daisy-chain of slave devices. slave serial mode slave serial mode provides a simple interface for loading the field programmable gate array configuration as shown in figure 29 . serial data is supplied in conjunction with a synchronizing input clock. most slave mode applica- tions are in daisy-chain configurations in which the data input is driven from the previous fpgas data out, while the clock is supplied by a lead device in master or peripheral mode. data may also be supplied by a processor or other special circuits. daisy chain the development system is used to create a composite configuration for selected fpgas including: a preamble, a length count for the total bitstream, multiple concatenated data programs and a postamble plus an additional fill bit per device in the serial chain. after loading and passing-on the preamble and length count to a possible daisy-chain, a lead device will load its configuration data frames while pro- viding a high dout to possible down-stream devices as shown in figure 25 . loading continues while the lead device has received its configuration program and the cur- rent length count has not reached the full value. the addi- tional data is passed through the lead device and appears on the data out (dout) pin in serial form. the lead device also generates the configuration clock (cclk) to synchro- nize the serial output data and data in of down-stream fpgas. data is read in on din of slave devices by the pos- itive edge of cclk and shifted out the dout on the nega- tive edge of cclk. a parallel master mode device uses its internal timing generator to produce an internal cclk of 8 times its eprom address rate, while a peripheral mode device produces a burst of 8 cclks for each chip select and write-strobe cycle. the internal timing generator con- tinues to operate for general timing and synchronization of inputs in all modes.
r november 9, 1998 (version 3.1) 7-23 xc3000 series field programmable gate arrays 7 special configuration functions the configuration data includes control over several spe- cial functions in addition to the normal user logic functions and interconnect. ? input thresholds ? readback disable ? done pull-up resistor ?done timing ? reset timing ? oscillator frequency divided by two each of these functions is controlled by configuration data bits which are selected as part of the normal development system bitstream generation process. input thresholds prior to the completion of configuration all fpga input thresholds are ttl compatible. upon completion of config- uration, the input thresholds become either ttl or cmos compatible as programmed. the use of the ttl threshold option requires some additional supply current for thresh- old shifting. the exception is the threshold of the pwrdwn input and direct clocks which always have a cmos input. prior to the completion of configuration the user i/o pins each have a high impedance pull-up. the configuration program can be used to enable the iob pull-up resistors in the operational mode to act either as an input load or to avoid a floating input on an otherwise unused pin. readback the contents of a field programmable gate array may be read back if it has been programmed with a bitstream in which the readback option has been enabled. readback may be used for verification of configuration and as a method of determining the state of internal logic nodes dur- ing debugging. there are three options in generating the configuration bitstream. ? never inhibits the readback capability. ? one-time, inhibits readback after one readback has been executed to verify the configuration. ? on-command allows unrestricted use of readback. readback is accomplished without the use of any of the user i/o pins; only m0, m1 and cclk are used. the initia- tion of readback is produced by a low to high transition of the m0/rtrig (read trigger) pin. the cclk input must then be driven by external logic to read back the configura- tion data. the first three low-to-high cclk transitions clock out dummy data. the subsequent low-to-high cclk transitions shift the data frame information out on the m1/rdata (read data) pin. note that the logic polarity is always inverted, a zero in configuration becomes a one in readback, and vice versa. note also that each readback frame has one start bit (read back as a one) but, unlike in configuration, each readback frame has only one stop bit (read back as a zero). the third leading dummy bit men- tioned above can be considered the start bit of the first frame. all data frames must be read back to complete the process and return the mode select and cclk pins to their normal functions. readback data includes the current state of each clb flip-flop, each input flip-flop or latch, and each device pad. these data are imbedded into unused configuration bit positions during readback. this state information is used by the development system in-circuit verifier to provide visibility into the internal operation of the logic while the system is operating. to readback a uniform time-sample of all storage elements, it may be necessary to inhibit the sys- tem clock. reprogram to initiate a re-programming cycle, the dual-function pin done/prog must be given a high-to-low transition. to reduce sensitivity to noise, the input signal is filtered for two cycles of the fpga internal timing generator. when repro- gram begins, the user-programmable i/o output buffers are disabled and high-impedance pull-ups are provided for the package pins. the device returns to the clear state and clears the configuration memory before it indicates initial- ized. since this clear operation uses chip-individual inter- nal timing, the master might complete the clear operation and then start configuration before the slave has completed the clear operation. to avoid this problem, the slave init pins must be and-wired and used to force a reset on the master (see figure 25 ). reprogram control is often imple- mented using an external open-collector driver which pulls done/prog low. once a stable request is recognized, the done/prog pin is held low until the new configura- tion has been completed. even if the re-program request is externally held low beyond the configuration period, the fpga will begin operation upon completion of configura- tion. done pull-up done/prog is an open-drain i/o pin that indicates the fpga is in the operational state. an optional internal pull-up resistor can be enabled by the user of the develop- ment system. the done/prog pins of multiple fpgas in a daisy-chain may be connected together to indicate all are done or to direct them all to reprogram. done timing the timing of the done status signal can be controlled by a selection to occur either a cclk cycle before, or after, the outputs going active. see figure 22 . this facilitates control of external functions such as a prom enable or holding a system in a wait state.
r xc3000 series field programmable gate arrays 7-24 november 9, 1998 (version 3.1) reset timing as with done timing, the timing of the release of the inter- nal reset can be controlled to occur either a cclk cycle before, or after, the outputs going active. see figure 22 . this reset keeps all user programmable flip-flops and latches in a zero state during configuration. crystal oscillator division a selection allows the user to incorporate a dedicated divide-by-two flip-flop between the crystal oscillator and the alternate clock line. this guarantees a symmetrical clock signal. although the frequency stability of a crystal oscilla- tor is very good, the symmetry of its waveform can be affected by bias or feedback drive. bitstream error checking bitstream error checking protects against erroneous con- figuration. each xilinx fpga bitstream consists of a 40-bit preamble, followed by a device-specific number of data frames. the number of bits per frame is also device-specific; however, each frame ends with three stop bits (111) followed by a start bit for the next frame (0). all devices in all xc3000 families start reading in a new frame when they find the first 0 after the end of the previous frame. an original xc3000 device does not check for the correct stop bits, but xc3000a, xc3100a, xc3000l, and xc3100l devices check that the last three bits of any frame are actually 111. under normal circumstances, all these fpgas behave the same way; however, if the bitstream is corrupted, an xc3000 device will always start a new frame as soon as it finds the first 0 after the end of the previous frame, even if the data is completely wrong or out-of-sync. given suffi- cient zeros in the data stream, the device will also go done, but with incorrect configuration and the possibility of inter- nal contention. an xc3000a/xc3100a/xc3000l/xc3100l device starts any new frame only if the three preceding bits are all ones. if this check fails, it pulls init low and stops the internal configuration, although the master cclk keeps running. the user must then start a new configuration by applying a >6 m s low level on reset . this simple check does not protect against random bit errors, but it offers almost 100 percent protection against erroneous configuration files, defective configuration data sources, synchronization errors between configuration source and fpga, or pc-board level defects, such as bro- ken lines or solder-bridges. reset spike protection a separate modification slows down the reset input before configuration by using a two-stage shift register driven from the internal clock. it tolerates submicrosecond high spikes on reset before configuration. the xc3000 master can be connected like an xc4000 master, but with its reset input used instead of init . (on xc3000, init is output only). soft start-up after configuration, the outputs of all fpgas in a daisy-chain become active simultaneously, as a result of the same cclk edge. in the original xc3000/3100 devices, each output becomes active in either fast or slew-rate limited mode, depending on the way it is config- ured. this can lead to large ground-bounce signals. in xc3000a, xc3000l, xc3100a, and xc3100l devices, all outputs become active first in slew-rate limited mode, reducing the ground bounce. after this soft start-up, each individual output slew rate is again controlled by the respective configuration bit.
r november 9, 1998 (version 3.1) 7-25 xc3000 series field programmable gate arrays 7 configuration timing this section describes the configuration modes in detail. master serial mode in master serial mode, the cclk output of the lead fpga drives a xilinx serial prom that feeds the din input. each rising edge of the cclk output increments the serial prom internal address counter. this puts the next data bit on the sprom data output, connected to the din pin. the lead fpga accepts this data on the subsequent rising cclk edge. the lead fpga then presents the preamble data (and all data that overflows the lead device) on its dout pin. there is an internal delay of 1.5 cclk periods, which means that dout changes on the falling cclk edge, and the next device in the daisy-chain accepts data on the subsequent rising cclk edge. the sprom ce input can be driven from either ldc or done. using ldc avoids potential contention on the din pin, if this pin is configured as user-i/o, but ldc is then restricted to be a permanently high user output. using done also avoids contention on din, provided the early done option is invoked. x5989_01 ce general- purpose user i/o pins m0 m1 pwrdwn dout m2 hdc other i/o pins reset din cclk data clk +5 v oe/reset xc3000 fpga device d/p scp ceo cascaded serial memory ldc init xc17xx reset slave lcas with identical configurations during configuration the 5 k w m2 pull-down resistor overcomes the internal pull-up, but it allows m2 to be user i/o. (low resets the xc17xx address pointer) to cclk of optional v cc v pp +5 v daisy-chained lcas with different configurations to din of optional if readback is activated, a 5-k w resistor is required in series with m1 * * ce data clk oe/reset daisy-chained lcas with different configurations to cclk of optional slave lcas with identical configurations to din of optional init +5v figure 23: master serial mode circuit diagram
r xc3000 series field programmable gate arrays 7-26 november 9, 1998 (version 3.1) notes: 1. at power-up, v cc must rise from 2.0 v to v cc min in less than 25 ms. if this is not possible, configuration can be delayed by holding reset low until v cc has reached 4.0 v (2.5 v for the xc3000l). a very long v cc rise time of >100 ms, or a non-monotonically rising v cc may require >6- m s high level on reset, followed by a >6- m s low level on reset and d/p after vcc has reached 4.0 v (2.5 v for the xc3000l). 2. configuration can be controlled by holding reset low with or until after the init of all daisy-chain slave-mode devices is high. 3. master-serial-mode timing is based on slave-mode testing. figure 24: master serial mode programming switching characteristics serial data in cclk (output) serial dout (output) 1 t dsck 2 t ckds n n + 1 n + 2 n ?3 n ?2 n ?1 n x3223 description symbol min max units cclk data in setup 1 t dsck 60 ns data in hold 2 c kds 0ns
r november 9, 1998 (version 3.1) 7-27 xc3000 series field programmable gate arrays 7 master parallel mode in master parallel mode, the lead fpga directly addresses an industry-standard byte-wide eprom and accepts eight data bits right before incrementing (or decrementing) the address outputs. the eight data bits are serialized in the lead fpga, which then presents the preamble data (and all data that over- flows the lead device) on the dout pin. there is an inter- nal delay of 1.5 cclk periods, after the rising cclk edge that accepts a byte of data, and also changes the eprom address, until the falling cclk edge that makes the lsb (d0) of this byte appear at dout. this means that dout changes on the falling cclk edge, and the next device in the daisy chain accepts data on the subsequent rising cclk edge. x5990 rclk general- purpose user i/o pins m0 m1pwrdwn m2 hdc other i/o pins d7 d6 d5 d4 d3 d2 d1 d0 a15 a14 a13 a12 a11 a10 a9 a8 a7 a6 a5 a4 a3 a2 a1 a0 a10 a9 a8 a7 a6 a5 a4 a3 a2 a1 a0 d7 d6 d5 d4 d3 d2 d1 d0 +5 v ..... ce oe fpga cclk dout system reset a11 a12 a13 a14 a15 eprom reset ... other i/o pins dout m2 hdc ldc fpga slave #1 +5 v m0 m1pwrdwn cclk din d/p reset dout fpga slave #n +5 v m0 m1pwrdwn cclk din d/p general- purpose user i/o pins reset master ... +5 v 8 init ... m2 hdc ldc init general- purpose user i/o pins +5 v d/p other i/o pins note: xc2000 devices do not have init to hold off a master device. reset of a master device should be asserted by an external timing circuit to allow for lca cclk variations in clear state time. open collector init n.c. reprogram 5 k 5 k 5 k 5 k each if readback is activated, a 5-k resistor is required in series with m1 * * ** figure 25: master parallel mode circuit diagram
r xc3000 series field programmable gate arrays 7-28 november 9, 1998 (version 3.1) notes: 1. at power-up, v cc must rise from 2.0 v to v cc min in less than 25 ms. if this is not possible, configuration can be delayed by holding reset low until vcc has reached 4.0 v (2.5 v for the xc3000l). a very long v cc rise time of >100 ms, or a non-monotonically rising v cc may require a >6- m s high level on reset , followed by a >6- m s low level on reset and d/p after v cc has reached 4.0 v (2.5 v for the xc3000l). 2. configuration can be controlled by holding reset low with or until after the init of all daisy-chain slave-mode devices is high. this timing diagram shows that the eprom requirements are extremely relaxed: eprom access time can be longer than 4000 ns. eprom data output has no hold time requirements. figure 26: master parallel mode programming switching characteristics address for byte n byte 2 t drc address for byte n + 1 d7 d6 a0-a15 (output) d0-d7 rclk (output) cclk (output) dout (output) 1 t rac 7 cclks cclk 3 t rcd byte n - 1 x5380 description symbol min max units rclk to address valid to data setup to data hold rclk high rclk low 1 2 3 t rac t drc t rcd t rch t rcl 0 60 0 600 4.0 200 ns ns ns ns m s
r november 9, 1998 (version 3.1) 7-29 xc3000 series field programmable gate arrays 7 peripheral mode peripheral mode uses the trailing edge of the logic and condition of the cs0 , cs1 , cs2, and ws inputs to accept byte-wide data from a microprocessor bus. in the lead fpga, this data is loaded into a double-buffered uart-like parallel-to-serial converter and is serially shifted into the internal logic. the lead fpga presents the preamble data (and all data that overflows the lead device) on the dout pin. the ready/busy output from the lead device acts as a handshake signal to the microprocessor. rdy/busy goes low when a byte has been received, and goes high again when the byte-wide input buffer has transferred its informa- tion into the shift register, and the buffer is ready to receive new data. the length of the busy signal depends on the activity in the uart. if the shift register had been empty when the new byte was received, the busy signal lasts for only two cclk periods. if the shift register was still full when the new byte was received, the busy signal can be as long as nine cclk periods. note that after the last byte has been entered, only seven of its bits are shifted out. cclk remains high with dout equal to bit 6 (the next-to-last bit) of the last byte entered. x5991 address bus data bus d0? address decode logic cs0 ... rdy/busy ws reset ... other i/o pins d0? cclk dout m2 hdc ldc fpga general- purpose user i/o pins d/p m0 m1 pwr dwn +5 v cs2 cs1 control signals 8 init reprogram +5 v 5 k * if readback is activated, a 5-k resistor is required in series with m1 * optional daisy-chained fpgas with different configurations oc figure 27: peripheral mode circuit diagram
r xc3000 series field programmable gate arrays 7-30 november 9, 1998 (version 3.1) notes: 1. at power-up, v cc must rise from 2.0 v to v cc min in less than 25 ms. if this is not possible, configuration can be delayed by holding reset low until v cc has reached 4.0 v (2.5 v for the xc3000l). a very long v cc rise time of >100 ms, or a non-monotonically rising v cc may require a >6- m s high level on reset , followed by a >6- m s low level on reset and d/p after v cc has reached 4.0 v (2.5 v for the xc3000l). 2. configuration must be delayed until the init of all fpgas is high. 3. time from end of ws to cclk cycle for the new byte of data depends on completion of previous byte processing and the phase of the internal timing generator for cclk. 4. cclk and dout timing is tested in slave mode. 5. t busy indicates that the double-buffered parallel-to-serial converter is not yet ready to receive new data. the shortest t busy occurs when a byte is loaded into an empty parallel-to-serial converter. the longest tbusy occurs when a new word is loaded into the input register before the second-level buffer has started shifting out data. note: this timing diagram shows very relaxed requirements: data need not be held beyond the rising edge of ws . busy will go active within 60 ns after the end of ws . busy will stay active for several microseconds. ws may be asserted immediately after the end of busy . figure 28: peripheral mode programming switching characteristics 6 busy t d6 dout rdy/busy d7 d0 d1 d2 4 wtrb t valid 2 dc t 1 ca t cclk d0-d7 cs2 ws, cs0, cs1 3 cd t write to fpga x5992 previous byte new byte description symbol min max units write effective write time required (assertion of cs0 , cs1 , cs2 , ws ) 1t ca 100 ns din setup time required din hold time required 2 3 t dc t cd 60 0 ns ns rdy/busy delay after end of ws 4t wtrb 60 ns rdy earliest next ws after end of busy 5t rbwt 0ns busy low time generated 6 t busy 2.5 9 cclk periods
r november 9, 1998 (version 3.1) 7-31 xc3000 series field programmable gate arrays 7 slave serial mode in slave serial mode, an external signal drives the cclk input(s) of the fpga(s). the serial configuration bitstream must be available at the din input of the lead fpga a short set-up time before each rising cclk edge. the lead device then presents the preamble data (and all data that over- flows the lead device) on its dout pin. there is an internal delay of 0.5 cclk periods, which means that dout changes on the falling cclk edge, and the next device in the daisy-chain accepts data on the subsequent rising cclk edge. d/p reset x5993 fpga general- purpose user i/o pins +5 v m0 m1 pwrdwn cclk din strb d0 d1 d2 d3 d4 d5 d6 d7 reset i/o port micro computer dout hdc ldc m2 ... other i/o pins init +5 v 5 k if readback is activated, a 5-k resistor is required in series with m1 * optional daisy-chained lcas with different configurations * figure 29: slave serial mode circuit diagram
r xc3000 series field programmable gate arrays 7-32 november 9, 1998 (version 3.1) notes: 1. the max limit of cclk low time is caused by dynamic circuitry inside the fpga. 2. configuration must be delayed until the init of all fpgas is high. 3. at power-up, v cc must rise from 2.0 v to v cc min in less than 25 ms. if this is not possible, configuration can be delayed by holding reset low until vcc has reached 4.0 v (2.5 v for the xc3000l). a very long v cc rise time of >100 ms, or a non-monotonically rising v cc may require a >6- m s high level on reset , followed by a >6- m s low level on reset and d/p after v cc has reached 4.0 v (2.5 v for the xc3000l). figure 30: slave serial mode programming switching characteristics 4 t cch bit n bit n + 1 bit n bit n - 1 3 t cco 5 t ccl 2 t ccd 1 t dcc din cclk dout (output) x5379 description symbol min max units cclk to dout din setup din hold high time low time (note 1) frequency 3 1 2 4 5 t cco t dcc t ccd t cch t ccl f cc 60 0 0.05 0.05 100 5.0 10 ns ns ns m s m s mhz
r november 9, 1998 (version 3.1) 7-33 xc3000 series field programmable gate arrays 7 program readback switching characteristics notes: 1. during readback, cclk frequency may not exceed 1 mhz. 2. retrig (m0 positive transition) shall not be done until after one clock following active i/o pins. 3. readback should not be initiated until configuration is complete. 4. t cclr is 5 m s min to 15 m s max for xc3000l. 1 t rth 5 3 4 4 2 t ccl t ccrd t ccl t rtcc done/prog (output) x6116 rtrig (m0) cclk(1) valid readback output hi-z valid readback output m1 input/ rdata output description symbol min max units rtrig rtrig high 1 t rth 250 ns cclk rtrig setup rdata delay high time low time 2 3 4 5 t rtcc t ccrd t cchr t cclr 200 0.5 0.5 100 5 ns ns m s m s
r xc3000 series field programmable gate arrays 7-34 november 9, 1998 (version 3.1) general xc3000 series switching characteristics notes: 1. at power-up, v cc must rise from 2.0 v to v cc min in less than 25 ms. if this is not possible, configuration can be delayed by holding reset low until vcc has reached 4.0 v (2.5 v for xc3000l). a very long vcc rise time of >100 ms, or a non-monotonically rising v cc may require a >1- m s high level on reset , followed by a >6- m s low level on reset and d/p after vcc has reached 4.0 v (2.5 v for xc3000l). 2. reset timing relative to valid mode lines (m0, m1, m2) is relevant when reset is used to delay configuration. the specified hold time is caused by a shift-register filter slowing down the response to reset during configuration. 3. pwrdwn transitions must occur while v cc >4.0 v(2.5 v for xc3000l). 4 t mrw 2 t mr 3 t rm 5 t pgw 6 t pgi clear state configuration state user state note 3 v ccpd x5387 reset m0/m1/m2 done/prog init (output) pwrdwn v cc (valid) description symbol min max units reset (2) m0, m1, m2 setup time required m0, m1, m2 hold time required reset width (low) req. for abort 2 3 4 t mr t rm t mrw 1 4.5 6 m s m s m s done/prog width (low) required for re-config. init response after d/p is pulled low 5 6 t pgw t pgi 6 7 m s m s pwrdwn (3) power down v cc v ccpd 2.3 v
r november 9, 1998 (version 3.1) 7-35 xc3000 series field programmable gate arrays 7 device performance the xc3000 families of fpgas can achieve very high per- formance. this is the result of ? a sub-micron manufacturing process, developed and continuously being enhanced for the production of state-of-the-art cmos srams. ? careful optimization of transistor geometries, circuit design, and lay-out, based on years of experience with the xc3000 family. ? a look-up table based, coarse-grained architecture that can collapse multiple-layer combinatorial logic into a single function generator. one clb can implement up to four layers of conventional logic in as little as 1.5 ns. actual system performance is determined by the timing of critical paths, including the delay through the combinatorial and sequential logic elements within clbs and iobs, plus the delay in the interconnect routing. the ac-timing speci- fications state the worst-case timing parameters for the var- ious logic resources available in the xc3000-families architecture. figure 31 shows a variety of elements involved in determining system performance. logic block performance is expressed as the propagation time from the interconnect point at the input to the block to the output of the block in the interconnect area. since com- binatorial logic is implemented with a memory lookup table within a clb, the combinatorial delay through the clb, called t ilo , is always the same, regardless of the function being implemented. for the combinatorial logic function driving the data input of the storage element, the critical timing is data set-up relative to the clock edge provided to the flip-flop element. the delay from the clock source to the output of the logic block is critical in the timing signals pro- duced by storage elements. loading of a logic-block output is limited only by the resulting propagation delay of the larger interconnect network. speed performance of the logic block is a function of supply voltage and temperature. see figure 32 . interconnect performance depends on the routing resources used to implement the signal path. direct inter- connects to the neighboring clb provide an extremely fast path. local interconnects go through switch matrices (magic boxes) and suffer an rc delay, equal to the resis- tance of the pass transistor multiplied by the capacitance of the driven metal line. longlines carry the signal across the length or breadth of the chip with only one access delay. generous on-chip signal buffering makes performance rel- atively insensitive to signal fan-out; increasing fan-out from 1 to 8 changes the clb delay by only 10%. clocks can be distributed with two low-skew clock distribution networks. the tools in the development system used to place and route a design in an xc3000 fpga automatically calculate the actual maximum worst-case delays along each signal path. this timing information can be back-annotated to the designs netlist for use in timing simulation or examined with, a static timing analyzer. actual system performance is applications dependent. the maximum clock rate that can be used in a system is deter- mined by the critical path delays within that system. these delays are combinations of incremental logic and routing delays, and vary from design to design. in a synchronous system, the maximum clock rate depends on the number of combinatorial logic layers between re-synchronizing flip-flops. figure 33 shows the achievable clock rate as a function of the number of clb layers. clb clb iob clb pad (k) logic logic cko t clock clock to output combinatorial setup t cko t ilo t ick (k) pad iob t pid t okpo op t x3178 figure 31: primary block speed factors. actual timing is a function of various block factors combined with routing. factors. overall performance can be evaluated with the timing calculator or by an optional simulation.
r xc3000 series field programmable gate arrays 7-36 november 9, 1998 (version 3.1) power power distribution power for the fpga is distributed through a grid to achieve high noise immunity and isolation between logic and i/o. inside the fpga, a dedicated v cc and ground ring sur- rounding the logic array provides power to the i/o drivers. an independent matrix of v cc and groundlines supplies the interior logic of the device. this power distribution grid pro- vides a stable supply and ground for all internal logic, pro- viding the external package power pins are all connected and appropriately decoupled. typically a 0.1- m f capacitor connected near the v cc and ground pins will provide ade- quate decoupling. output buffers capable of driving the specified 4- or 8-ma loads under worst-case conditions may be capable of driv- ing as much as 25 to 30 times that current in a best case. noise can be reduced by minimizing external load capaci- tance and reducing simultaneous output transitions in the same direction. it may also be beneficial to locate heavily loaded output buffers near the ground pads. the i/o block output buffers have a slew-limited mode which should be used where output rise and fall times are not speed critical. slew-limited outputs maintain their dc drive capability, but generate less external reflections and internal noise. 1.00 0.80 0.60 0.40 0.20 specified worst-case values max co mmercial (4.75 v) max military (4.5 v) ?55 min military (5.5 v) min commercial (4.75 v) min commercial (5.25 v) typical commercial (+ 5.0 v, 25 c) typical military temperature ( c) ?40 ?20 0 25 40 70 80 100 125 normalized delay x6094 min military (4.5 v) figure 32: relative delay as a function of temperature, supply voltage and processing variations system clock (mhz) 250 200 150 100 50 3 clbs (3-12) 4 clbs (4-16) 2 clbs (2-8) 1 clb (1-4) xc3100a-3 xc3000a--6 clb levels: gate levels: 300 toggle rate 0 x7065 figure 33: clock rate as a function of logic complexity (number of combinational levels between flip-flops)
r november 9, 1998 (version 3.1) 7-37 xc3000 series field programmable gate arrays 7 dynamic power consumption power consumption the field programmable gate array exhibits the low power consumption characteristic of cmos ics. for any design, the configuration option of ttl chip input threshold requires power for the threshold reference. the power required by the static memory cells that hold the configura- tion data is very low and may be maintained in a power-down mode. typically, most of power dissipation is produced by external capacitive loads on the output buffers. this load and fre- quency dependent power is 25 m w/pf/mhz per output. another component of i/o power is the external dc loading on all output pins. internal power dissipation is a function of the number and size of the nodes, and the frequency at which they change. in an fpga, the fraction of nodes changing on a given clock is typically low (10-20%). for example, in a long binary counter, the total activity of all counter flip-flops is equivalent to that of only two clb outputs toggling at the clock frequency. typical global clock-buffer power is between 2.0 mw/mhz for the xc3020a and 3.5 mw/mhz for the xc3090a. the internal capacitive load is more a function of interconnect than fan-out. with a typical load of three general interconnect segments, each clb output requires about 0.25 mw per mhz of its output frequency. because the control storage of the fpga is cmos static memory, its cells require a very low standby current for data retention. in some systems, this low data retention current characteristic can be used as a method of preserving con- figurations in the event of a primary power loss. the fpga has built in powerdown logic which, when activated, will disable normal operation of the device and retain only the configuration data. all internal operation is suspended and output buffers are placed in their high-impedance state with no pull-ups. different from the xc3000 family which can be powered down to a current consumption of a few micro- amps, the xc3100a draws 5 ma, even in power-down. this makes power-down operation less meaningful. in con- trast, i ccpd for the xc3000l is only 10 m a. to force the fpga into the powerdown state, the user must pull the pwrdwn pin low and continue to supply a reten- tion voltage to the v cc pins. when normal power is restored, v cc is elevated to its normal operating voltage and pwrdwn is returned to a high. the fpga resumes operation with the same internal sequence that occurs at the conclusion of configuration. internal-i/o and logic-block storage elements will be reset, the outputs will become enabled and the done/prog pin will be released. when v cc is shut down or disconnected, some power might unintentionally be supplied from an incoming signal driving an i/o pin. the conventional electrostatic input pro- tection is implemented with diodes to the supply and ground. a positive voltage applied to an input (or output) will cause the positive protection diode to conduct and drive the v cc connection. this condition can produce invalid power conditions and should be avoided. a large series resistor might be used to limit the current or a bipolar buffer may be used to isolate the input signal. xc3042a xc3042l xc3142a one clb driving three local interconnects 0.25 0.17 0.25 mw per mhz one global clock buffer and clock line 2.25 1.40 1.70 mw per mhz one device output with a 50 pf load 1.25 1.25 1.25 mw per mhz
r xc3000 series field programmable gate arrays 7-38 november 9, 1998 (version 3.1) pin descriptions permanently dedicated pins v cc two to eight (depending on package type) connections to the positive v supply voltage. all must be connected. gnd two to eight (depending on package type) connections to ground. all must be connected. pwrdwn a low on this cmos-compatible input stops all internal activity, but retains configuration. all flip-flops and latches are reset, all outputs are 3-stated, and all inputs are inter- preted as high, independent of their actual level. when pwdwn returns high, the fpga becomes operational with done low for two cycles of the internal 1-mhz clock. before and during configuration, pwrdwn must be high. if not used, pwrdwn must be tied to v cc . reset this is an active low input which has three functions. prior to the start of configuration, a low input will delay the start of the configuration process. an internal circuit senses the application of power and begins a minimal time-out cycle. when the time-out and reset are complete, the levels of the m lines are sampled and configuration begins. if reset is asserted during a configuration, the fpga is re-initialized and restarts the configuration at the termina- tion of reset . if reset is asserted after configuration is complete, it pro- vides a global asynchronous reset of all iob and clb storage elements of the fpga. cclk during configuration, configuration clock is an output of an fpga in master mode or peripheral mode, but an input in slave mode. during readback, cclk is a clock input for shifting configuration data out of the fpga. cclk drives dynamic circuitry inside the fpga. the low time may, therefore, not exceed a few microseconds. when used as an input, cclk must be parked high. an internal pull-up resistor maintains high when the pin is not being driven. done/prog (d/p ) done is an open-drain output, configurable with or without an internal pull-up resistor of 2 to 8 k w . at the completion of configuration, the fpga circuitry becomes active in a syn- chronous order; done is programmed to go active high one cycle either before or after the outputs go active. once configuration is done, a high-to-low transition of this pin will cause an initialization of the fpga and start a reconfiguration. m0/rtrig as mode 0, this input is sampled on power-on to determine the power-on delay (2 14 cycles if m0 is high, 2 16 cycles if m0 is low). before the start of configuration, this input is again sampled together with m1, m2 to determine the configura- tion mode to be used. a low-to-high input transition, after configuration is com- plete, acts as a read trigger and initiates a readback of configuration and storage-element data clocked by cclk. by selecting the appropriate readback option when gener- ating the bitstream, this operation may be limited to a single readback, or be inhibited altogether. m1/rdata as mode 1, this input and m0, m2 are sampled before the start of configuration to establish the configuration mode to be used. if readback is never used, m1 can be tied directly to ground or v cc . if readback is ever used, m1 must use a 5-k w resistor to ground or v cc , to accommodate the rdata output. as an active-low read data, after configuration is com- plete, this pin is the output of the readback data. user i/o pins that can have special functions m2 during configuration, this input has a weak pull-up resistor. together with m0 and m1, it is sampled before the start of configuration to establish the configuration mode to be used. after configuration, this pin is a user-programmable i/o pin. hdc during configuration, this output is held at a high level to indicate that configuration is not yet complete. after config- uration, this pin is a user-programmable i/o pin. ldc during configuration, this output is held at a low level to indicate that the configuration is not yet complete. after configuration, this pin is a user-programmable i/o pin. ldc is particularly useful in master mode as a low enable for an eprom, but it must then be programmed as a high after configuration. init this is an active low open-drain output with a weak pull-up and is held low during the power stabilization and internal clearing of the configuration memory. it can be used to indi- cate status to a configuring microprocessor or, as a wired
r november 9, 1998 (version 3.1) 7-39 xc3000 series field programmable gate arrays 7 and of several slave mode devices, a hold-off signal for a master mode device. after configuration this pin becomes a user-programmable i/o pin. bclkin this is a direct cmos level input to the alternate clock buffer (auxiliary buffer) in the lower right corner. xtl1 this user i/o pin can be used to operate as the output of an amplifier driving an external crystal and bias circuitry. xtl2 this user i/o pin can be used as the input of an amplifier connected to an external crystal and bias circuitry. the i/o block is left unconfigured. the oscillator configuration is activated by routing a net from the oscillator buffer symbol output and by the makebits program. cs0 , cs1 , cs2, ws these four inputs represent a set of signals, three active low and one active high, that are used to control configu- ration-data entry in the peripheral mode. simultaneous assertion of all four inputs generates a write to the internal data buffer. the removal of any assertion clocks in the d0-d7 data. in master-parallel mode, ws and cs2 are the a0 and a1 outputs. after configuration, these pins are user-programmable i/o pins. rdy/busy during peripheral parallel mode configuration this pin indi- cates when the chip is ready for another byte of data to be written to it. after configuration is complete, this pin becomes a user-programmed i/o pin. rclk during master parallel mode configuration, each change on the a0-15 outputs is preceded by a rising edge on rclk , a redundant output signal. after configuration is complete, this pin becomes a user-programmed i/o pin. d0-d7 this set of eight pins represents the parallel configuration byte for the parallel master and peripheral modes. after configuration is complete, they are user-programmed i/o pins. a0-a15 during master parallel mode, these 16 pins present an address output for a configuration eprom. after configura- tion, they are user-programmable i/o pins. din during slave or master serial configuration, this pin is used as a serial-data input. in the master or peripheral configu- ration, this is the data 0 input. after configuration is com- plete, this pin becomes a user-programmed i/o pin. dout during configuration this pin is used to output serial-config- uration data to the din pin of a daisy-chained slave. after configuration is complete, this pin becomes a user-pro- grammed i/o pin. tclkin this is a direct cmos-level input to the global clock buffer. this pin can also be configured as a user programmable i/o pin. however, since tclkin is the preferred input to the global clock net, and the global clock net should be used as the primary clock source, this pin is usually the clock input to the chip. unrestricted user i/o pins i/o an i/o pin may be programmed by the user to be an input or an output pin following configuration. all unrestricted i/o pins, plus the special pins mentioned on the following page, have a weak pull-up resistor that becomes active as soon as the device powers up, and stays active until the end of configuration. note: before and during configuration, all outputs that are not used for the configuration process are 3-stated with a weak pull-up resistor.
r xc3000 series field programmable gate arrays 7-40 november 9, 1998 (version 3.1) pin functions during configuration configuration mode *** ** **** slave serial <1:1:1> master- serial <0:0:0> periph <1:0:1> master- high <1:1:0> master- low <1:0:0> 44 plcc 64 vqfp 68 plcc 84 plcc 84 pga 100 pqfp 100 vqfp tqfp 132 pga 144 tqfp 160 pqfp 175 pga 176 tqfp 208 pqfp user function powr dwn (i) power dwn (i) power dwn (i) power dwn (i) power dwn (i) 7 17 10 12 b2 29 26 a1 1 159 b2 1 3 power dwn (1) m1 (high) (i) m1 (low) (i) m1 (low) (i) m1 (high) (i) m1 (low) (i) 16 31 25 31 j2 52 49 b13 36 40 b14 45 48 rdata m0 (high) (i) m0 (low) (i) m0 (high) (i) m0 (low) (i) m0 (low) (i) 17 32 26 32 l1 54 51 a14 38 42 b15 47 50 rtrig (i) m2 (high) (i) m2 (low) (i) m2 (high) (i) m2 (high) (i) m2 (high) (i) 18 33 27 33 k2 56 53 c13 40 44 c15 49 56 i/o hdc (high) hdc (high) hdc (high) hdc (high) hdc (high) 19 34 28 34 k3 57 54 b14 41 45 e14 50 57 i/o ldc (low) ldc (low) ldc (low) ldc (low) ldc (low) 20 36 30 36 l3 59 56 d14 45 49 d16 54 61 i/o init* init* init* init* init* 22403442k66562g145359h156577 i/o gnd gnd gnd gnd gnd 23 41 35 43 j6 66 63 h12 55 61 j14 67 79 gnd 26 47 43 53 l11 76 73 m13 69 76 p15 85 100 xtl2 or i/o reset (i) reset (i) reset (i) reset (i) reset (i) 27 48 44 54 k10 78 75 p14 71 78 r15 87 102 reset (i) done done done done done 28 49 45 55 j10 80 77 n13 73 80 r14 89 107 program (i) data 7 (i) data 7 (i) data 7 (i) 50 46 56 k11 81 78 m12 74 81 n13 90 109 i/o 30 51 47 57 j11 82 79 p13 75 82 t14 91 110 xtl1 or i/o data 6 (i) data 6 (i) data 6 (i) 52 48 58 h10 83 80 n11 78 86 p12 96 115 i/o data 5 (i) data 5 (i) data 5 (i) 53 49 60 f10 87 84 m9 84 92 t11 102 122 i/o cs0 (i) 54 50 61 g10 88 85 n9 85 93 r10 103 123 i/o data 4 (i) data 4 (i) data 4 (i) 55 51 62 g11 89 86 n8 88 96 r9 108 128 i/o data 3 (i) data 3 (i) data 3 (i) 57 53 65 f11 92 89 n7 92 102 p8 112 132 i/o cs1 (i) 58 54 66 e11 93 90 p6 93 103 r8 113 133 i/o data 2 (i) data 2 (i) data 2 (i) 59 55 67 e10 94 91 m6 96 106 r7 118 138 i/o data 1 (i) data 1 (i) data 1 (i) 60 56 70 d10 98 95 m5 102 114 r5 124 145 i/o rdy/busy rclk rclk 61 57 71 c11 99 96 n4 103 115 p5 125 146 i/o din (i) din (i) data 0 (i) data 0 (i) data 0 (i) 38 62 58 72 b11 100 97 n2 106 119 r3 130 151 i/o dout dout dout dout dout 39 63 59 73 c10 1 98 m3 107 120 n4 131 152 i/o cclk (i) cclk (o) cclk (o) cclk (o) cclk (o) 40 64 60 74 a11 2 99 p1 108 121 r2 132 153 cclk (i) ws (i) a0 a0 1 61 75 b10 5 2 m2 111 124 p2 135 161 i/o cs2 (i) a1 a1 2 62 76 b9 6 3 n1 112 125 m3 136 162 i/o a2 a2 3 63 77 a10 8 5 l2 115 128 p1 140 165 i/o a3 a3 4 64 78 a9 9 6 l1 116 129 n1 141 166 i/o a15 a15 65 81 b6 12 9 k1 119 132 m1 146 172 5 a4 a4 5 66 82 b7 13 10 j2 120 133 l2 147 173 i/o a14 a14 6 67 83 a7 14 11 h1 123 136 k2 150 178 i/o a5 a5 7 68 84 c7 15 12 h2 124 137 k1 151 179 i/o a13 a13 9 2 2 a6 17 14 g2 128 141 h2 156 184 i/o a6 a6 10 3 3 a5 18 15 g1 129 142 h1 157 185 i/o a12 a12 11 4 4 b5 19 16 f2 133 147 f2 164 192 i/o a7 a7 12 5 5 c5 20 17 e1 134 148 e1 165 193 i/o a11 a11 13 6 8 a3 23 20 d1 137 151 d1 169 199 i/o a8 a8 14 7 9 a2 24 21 d2 138 152 c1 170 200 i/o a10 a10 15 8 10b325 22b1141155e3173203 i/o a9 a9 16 9 11 a1 26 26 c2142 156c2174 204 i/o all others x x x x xc3x20a etc. x x x x x x x xc3x30a etc. xxx xxx xc3x42a etc. x** x x xc3x64a etc. x** x x x x x xc3x90a etc. notes: x** x x x xc3195a * (i) ** *** **** note : generic i/o pins are not shown. for a detailed description of the configuration modes, see page 25 through page 34 . for pinout details, see page 65 through page 76 . represents a weak pull-up before and during configuration. init is an open drain output during configuration. represents an input. pin assignment for the xc3064a/xc3090a and xc3195a differ from those shown. peripheral mode and master parallel mode are not supported in the pc44 package. pin assignments for the xc3195a pq208 differ from those shown. pin assignments of pga footprint plcc sockets and pga packages are not identical. the information on this page is provided as a convenient summary. for detailed pin descriptions, see the preceding two pages. before and during configuration, all outputs that are not used for the configuration process are 3-stated with a weak pull-up r esistor.
r november 9, 1998 (version 3.1) 7-41 xc3000 series field programmable gate arrays 7 xc3000a switching characteristics xilinx maintains test specifications for each product as controlled documents. to insure the use of the most recently released device performance parameters, please request a copy of the current test-specification revision. xc3000a operating conditions note: at junction temperatures above those listed as operating conditions, all delay parameters increase by 0.3% per c. xc3000a dc characteristics over operating conditions notes: 1. with no output current loads, no active input or longline pull-up resistors, all package pins at v cc or gnd, and the fpga device configured with a tie option. 2. total continuous output sink current may not exceed 100 ma per ground pin. total continuous output source may not exceed 100 ma per v cc pin. the number of ground pins varies from the xc3020a to the xc3090a. 3. not tested. allow an undriven pin to float high. for any other purposes use an external pull-up. symbol description min max units v cc supply voltage relative to gnd commercial 0 c to +85 c junction 4.75 5.25 v supply voltage relative to gnd industrial -40 c to +100 c junction 4.5 5.5 v v iht high-level input voltage ttl configuration 2.0 v cc v v ilt low-level input voltage ttl configuration 0 0.8 v v ihc high-level input voltage cmos configuration 70% 100% v cc v ilc low-level input voltage cmos configuration 0 20% v cc t in input signal transition time 250 ns symbol description min max units v oh high-level output voltage (@ i oh = C4.0 ma, v cc min) commercial 3.86 v v ol low-level output voltage (@ i ol = 4.0 ma, v cc min) 0.40 v v oh high-level output voltage (@ i oh = C4.0 ma, v cc min) industrial 3.76 v v ol low-level output voltage (@ i ol = 4.0 ma, v cc min) 0.40 v v ccpd power-down supply voltage (pwrdwn must be low) 2.30 v i ccpd power-down supply current (v cc(max) @ t max ) 3020a 3030a 3042a 3064a 3090a 100 160 240 340 500 m a m a m a m a m a i cco quiescent fpga supply current in addition to i ccpd chip thresholds programmed as cmos levels chip thresholds programmed as ttl levels 500 10 m a m a i il input leakage current C10 +10 m a c in input capacitance, all packages except pga175 (sample tested) all pins except xtl1 and xtl2 xtl1 and xtl2 10 15 pf pf input capacitance, pga 175 (sample tested) all pins except xtl1 and xtl2 xtl1 and xtl2 16 20 pf pf i rin pad pull-up (when selected) @ v in = 0 v 3 0.02 0.17 ma i rll horizontal longline pull-up (when selected) @ logic low 3.4 ma
r xc3000 series field programmable gate arrays 7-42 november 9, 1998 (version 3.1) xc3000a absolute maximum ratings note: stresses beyond those listed under absolute maximum ratings may cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those listed under recommended operating conditions is not implied. exposure to absolute maximum ratings conditions for extended periods of time may affect device reliability. xc3000a global buffer switching characteristics guidelines note: 1. timing is based on the xc3042a, for other devices see timing calculator. symbol description units v cc supply voltage relative to gnd C0.5 to +7.0 v v in input voltage with respect to gnd C0.5 to v cc +0.5 v v ts voltage applied to 3-state output C0.5 to v cc +0.5 v t stg storage temperature (ambient) C65 to +150 c t sol maximum soldering temperature (10 s @ 1/16 in.) +260 c t j junction temperature plastic +125 c junction temperature ceramic +150 c speed grade -7 -6 description symbol max max units global and alternate clock distribution 1 either: normal iob input pad through clock buffer to any clb or iob clock input or: fast (cmos only) input pad through clock buffer to any clb or iob clock input t pid t pidc 7.5 6.0 7.0 5.7 ns ns tbuf driving a horizontal longline (l.l.) 1 i to l.l. while t is low (buffer active) t to l.l. active and valid with single pull-up resistor t to l.l. active and valid with pair of pull-up resistors t - to l.l. high with single pull-up resistor t - to l.l. high with pair of pull-up resistors t io t on t on t pus t puf 4.5 9.0 11.0 16.0 10.0 4.0 8.0 10.0 14.0 8.0 ns ns ns ns ns bidi bidirectional buffer delay t bidi 1.7 1.5 ns
r november 9, 1998 (version 3.1) 7-43 xc3000 series field programmable gate arrays 7 xc3000a clb switching characteristics guidelines testing of the switching parameters is modeled after testing methods specified by mil-m-38510/605. all devices are 100% functionally tested. since many internal timing parameters cannot be measured directly, they are derived from benchmark timing patterns. the following guidelines reflect worst-case values over the recommended operating conditions. for more detailed, more precise, and more up-to-date timing information, use the values provided by the timing calculator and used in the simulator. notes: 1. timing is based on the xc3042a, for other devices see timing calculator. 2. the clb k to q output delay (t cko , #8) of any clb, plus the shortest possible interconnect delay, is always longer than the data in hold time requirement (t ckdi , #5) of any clb on the same die. speed grade -7 -6 description symbol min max min max units combinatorial delay logic variables a, b, c, d, e, to outputs x or y fg mode f and fgm mode 1t ilo 5.1 5.6 4.1 4.6 ns ns sequential delay clock k to outputs x or y clock k to outputs x or y when q is returned through function generators f or g to drive x or y fg mode f and fgm mode 8t cko t qlo 4.5 9.5 10.0 4.0 8.0 8.5 ns ns ns set-up time before clock k logic variables a, b, c, d, e fg mode f and fgm mode data in di enable clock ec 2 4 6 t ick t dick t ecck 4.5 5.0 4.0 4.5 3.5 4.0 3.0 4.0 ns ns ns ns hold time after clock k logic variables a, b, c, d, e data in di 2 enable clock ec 3 5 7 t cki t ckdi t ckec 0 1.0 2.0 0 1.0 2.0 ns ns ns clock clock high time clock low time max. flip-flop toggle rate 11 12 t ch t cl f clk 4.0 4.0 113.0 3.5 3.5 135.0 ns ns mhz reset direct (rd) rd width delay from rd to outputs x or y 13 9 t rpw t rio 6.0 6.0 5.0 5.0 ns ns global reset (reset pad) 1 reset width (low) delay from reset pad to outputs x or y t mrw t mrq 16.0 19.0 14.0 17.0 ns ns
r xc3000 series field programmable gate arrays 7-44 november 9, 1998 (version 3.1) xc3000a clb switching characteristics guidelines (continued) 1 t ilo clb output (x, y) (combinatorial) clb input (a,b,c,d,e) clb clock clb input (direct in) clb input (enable clock) clb output (flip-flop) clb input (reset direct) clb output (flip-flop) 8 t cko x5424 13 t t rpw 9 t rio 4 t dick 6 t ecck 12 t cl 2 t ick 3 t cki 11 t ch 5 t ckdi 7 t ckec
r november 9, 1998 (version 3.1) 7-45 xc3000 series field programmable gate arrays 7 xc3000a iob switching characteristics guidelines testing of the switching parameters is modeled after testing methods specified by mil-m-38510/605. all devices are 100% functionally tested. since many internal timing parameters cannot be measured directly, they are derived from benchmark timing patterns. the following guidelines reflect worst-case values over the recommended operating conditions. for more detailed, more precise, and more up-to-date timing information, use the values provided by the timing calculator and used in the simulator. notes: 1. timing is measured at pin threshold, with 50 pf external capacitive loads (incl. test fixture). typical slew rate limit ed output rise/fall times are approximately four times longer. 2. voltage levels of unused (bonded and unbonded) pads must be valid logic levels. each can be configured with the internal pull-up resistor or alternatively configured as a driven output or driven from an external source. 3. input pad set-up time is specified with respect to the internal clock (ik). in order to calculate system set-up time, subtrac t clock delay (pad to ik) from the input pad set-up time value. input pad holdtime with respect to the internal clock (ik) is negative. this means that pad level changes immediately before the internal clock edge (ik) will not be recognized. 4. t pid , t ptg , and t pick are 3 ns higher for xtl2 when the pin is configured as a user input. speed grade -7 -6 description symbol min max min max units propagation delays (input) pad to direct in (i) pad to registered in (q) with latch transparent clock (ik) to registered in (q) 3 4 t pid t ptg t ikri 4.0 15.0 3.0 3.0 14.0 2.5 ns ns ns set-up time (input) pad to clock (ik) set-up time 1 t pick 14.0 12.0 ns propagation delays (output) clock (ok) to pad (fast) same (slew rate limited) output (o) to pad (fast) same (slew-rate limited) 3-state to pad begin hi-z (fast) same (slew-rate limited) 3-state to pad active and valid (fast) same (slew -rate limited) 7 7 10 10 9 9 8 8 t okpo t okpo t opf t ops t tshz t tshz t tson t tson 8.0 18.0 6.0 16.0 10.0 20.0 11.0 21.0 7.0 15.0 5.0 13.0 9.0 12.0 10.0 18.0 ns ns ns ns ns ns ns ns set-up and hold times (output) output (o) to clock (ok) set-up time output (o) to clock (ok) hold time 5 6 t ook t oko 8.0 0 7.0 0 ns ns clock clock high time clock low time max. flip-flop toggle rate 11 12 t ioh t iol f clk 4.0 4.0 113.0 3.5 3.5 135.0 ns ns mhz global reset delays (based on xc3042a) reset pad to registered in (q) reset pad to output pad (fast) (slew-rate limited) 13 15 15 t rri t rpo t rpo 24.0 33.0 43.0 23.0 29.0 37.0 ns ns ns
r xc3000 series field programmable gate arrays 7-46 november 9, 1998 (version 3.1) xc3000a iob switching characteristics guidelines (continued) 3 t pid i/o block (i) i/o pad input i/o clock (ik/ok) i/o block (ri) reset i/o block (o) i/o pad ts i/o pad output i/o pad output (direct) i/o pad output (registered) x5425 5 t ook 12 t iol 1 t pick 11 t ioh 4 t ikri 15 t rpo 13 t rri 6 t oko 9 t tshz 10 t op 7 t okpo 8 t tson flip flop q d r slew rate passive pull up output select 3-state invert out invert flip flop or latch d q r registered in direct in out 3- state (output enable) ttl or cmos input threshold output buffer (global reset) ck1 x3029 i/o pad vcc program-controlled memory cells programmable interconnection point or pip = ik ok q i o t program controlled multiplexer ck2
r november 9, 1998 (version 3.1) 7-47 xc3000 series field programmable gate arrays 7 xc3000l switching characteristics xilinx maintains test specifications for each product as controlled documents. to insure the use of the most recently released device performance parameters, please request a copy of the current test-specification revision. xc3000l operating conditions notes: 1. at junction temperatures above those listed as operating conditions, all delay parameters increase by 0.3% per c. 2. although the present (1996) devices operate over the full supply voltage range from 3.0 to 5.25 v, xilinx reserves the right to restrict operation to the 3.0 to 3.6 v range later, when smaller device geometries might preclude operation at 5v. operating conditions are guaranteed in the 3.0 C 3.6 v v cc range. xc3000l dc characteristics over operating conditions notes: 1. with no output current loads, no active input or longline pull-up resistors, all package pins at v cc or gnd, and the fpga device configured with a tie option. i cco is in addition to i ccpd . 2. total continuous output sink current may not exceed 100 ma per ground pin. total continuous output source may not exceed 100 ma per v cc pin. the number of ground pins varies from the xc3020l to the xc3090l. 3. not tested. allows an undriven pin to float high. for any other purpose, use an external pull-up. symbol description min max units v cc supply voltage relative to gnd commercial 0 c to +85 c junction 3.0 3.6 v v ih high-level input voltage ttl configuration 2.0 v cc +0.3 v v il low-level input voltage ttl configuration -0.3 0.8 v t in input signal transition time 250 ns symbol description min max units v oh high-level output voltage (@ i oh = C4.0 ma, v cc min) 2.40 v v ol low-level output voltage (@ i ol = 4.0 ma, v cc min) 0.40 v v oh high-level output voltage (@ i oh = C4.0 ma, v cc min) v cc -0.2 v v ol low-level output voltage (@ i ol = 4.0 ma, v cc min) 0.2 v v ccpd power-down supply voltage (pwrdwn must be low) 2.30 v i ccpd power-down supply current (v cc(max) @ t max )10 m a i cco quiescent fpga supply current in addition to i ccpd 1 chip thresholds programmed as cmos levels 20 m a i il input leakage current C10 +10 m a c in input capacitance, all packages except pga175 (sample tested) all pins except xtl1 and xtl2 xtl1 and xtl2 10 15 pf pf input capacitance, pga 175 (sample tested) all pins except xtl1 and xtl2 xtl1 and xtl2 15 20 pf pf i rin pad pull-up (when selected) @ v in = 0 v 3 0.01 0.17 ma i rll horizontal longline pull-up (when selected) @ logic low 2.50 ma
r xc3000 series field programmable gate arrays 7-48 november 9, 1998 (version 3.1) xc3000l absolute maximum ratings note: stresses beyond those listed under absolute maximum ratings may cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those listed under recommended operating conditions is not implied. exposure to absolute maximum ratings conditions for extended periods of time may affect device reliability. xc3000l global buffer switching characteristics guidelines notes: 1. timing is based on the xc3042a, for other devices see timing calculator. 2. the use of two pull-up resistors per longline, available on other xc3000 devices, is not a valid option for xc3000l devices. symbol description units v cc supply voltage relative to gnd C0.5 to +7.0 v v in input voltage with respect to gnd C0.5 to v cc +0.5 v v ts voltage applied to 3-state output C0.5 to v cc +0.5 v t stg storage temperature (ambient) C65 to +150 c t sol maximum soldering temperature (10 s @ 1/16 in.) +260 c t j junction temperature plastic +125 c junction temperature ceramic +150 c speed grade -8 description symbol max units global and alternate clock distribution 1 either: normal iob input pad through clock buffer to any clb or iob clock input or: fast (cmos only) input pad through clock buffer to any clb or iob clock input t pid t pidc 9.0 7.0 ns ns tbuf driving a horizontal longline (l.l.) 1 i to l.l. while t is low (buffer active) t to l.l. active and valid with single pull-up resistor t - to l.l. high with single pull-up resistor t io t on t pus 5.0 12.0 24.0 ns ns ns bidi bidirectional buffer delay t bidi 2.0 ns
r november 9, 1998 (version 3.1) 7-49 xc3000 series field programmable gate arrays 7 xc3000l clb switching characteristics guidelines testing of the switching parameters is modeled after testing methods specified by mil-m-38510/605. all devices are 100% functionally tested. since many internal timing parameters cannot be measured directly, they are derived from benchmark timing patterns. the following guidelines reflect worst-case values over the recommended operating conditions. for more detailed, more precise, and more up-to-date timing information, use the values provided by the timing calculator and used in the simulator. notes: 1. timing is based on the xc3042l, for other devices see timing calculator. 2. the clb k to q output delay (t cko , #8) of any clb, plus the shortest possible interconnect delay, is always longer than the data in hold time requirement (t ckdi , #5) of any clb on the same die. speed grade -8 description symbol min max units combinatorial delay logic variables a, b, c, d, e, to outputs x or y fg mode f and fgm mode 1t ilo 6.7 7.5 ns ns sequential delay clock k to outputs x or y clock k to outputs x or y when q is returned through function generators f or g to drive x or y fg mode f and fgm mode 8t cko t qlo 7.5 14.0 14.8 ns ns ns set-up time before clock k logic variables a, b, c, d, e fg mode f and fgm mode data in di enable clock ec 2 4 6 t ick t dick t ecck 5.0 5.8 5.0 6.0 ns ns ns ns hold time after clock k logic variables a, b, c, d, e data in di 2 enable clock ec 3 5 7 t cki t ckdi t ckec 0 2.0 2.0 ns ns ns clock clock high time clock low time max. flip-flop toggle rate 11 12 t ch t cl f clk 5.0 5.0 80.0 ns ns mhz reset direct (rd) rd width delay from rd to outputs x or y 13 9 t rpw t rio 7.0 7.0 ns ns global reset (reset pad) 1 reset width (low) delay from reset pad to outputs x or y t mrw t mrq 16.0 23.0 ns ns
r xc3000 series field programmable gate arrays 7-50 november 9, 1998 (version 3.1) xc3000l clb switching characteristics guidelines (continued) 1 t ilo clb output (x, y) (combinatorial) clb input (a,b,c,d,e) clb clock clb input (direct in) clb input (enable clock) clb output (flip-flop) clb input (reset direct) clb output (flip-flop) 8 t cko x5424 13 t t rpw 9 t rio 4 t dick 6 t ecck 12 t cl 2 t ick 3 t cki 11 t ch 5 t ckdi 7 t ckec
r november 9, 1998 (version 3.1) 7-51 xc3000 series field programmable gate arrays 7 xc3000l iob switching characteristics guidelines testing of the switching parameters is modeled after testing methods specified by mil-m-38510/605. all devices are 100% functionally tested. since many internal timing parameters cannot be measured directly, they are derived from benchmark timing patterns. the following guidelines reflect worst-case values over the recommended operating conditions. for more detailed, more precise, and more up-to-date timing information, use the values provided by the timing calculator and used in the simulator. notes: 1. timing is measured at pin threshold, with 50 pf external capacitive loads (incl. test fixture). typical slew rate limited out put rise/fall times are approximately four times longer. 2. voltage levels of unused (bonded and unbonded) pads must be valid logic levels. each can be configured with the internal pull-up resistor or alternatively configured as a driven output or driven from an external source. 3. input pad set-up time is specified with respect to the internal clock (ik). in order to calculate system set-up time, subtrac t clock delay (pad to ik) from the input pad set-up time value. input pad holdtime with respect to the internal clock (ik) is negative. this means that pad level changes immediately before the internal clock edge (ik) will not be recognized. 4. t pid , t ptg , and t pick are 3 ns higher for xtl2 when the pin is configured as a user input. speed grade -8 description symbol min max units propagation delays (input) pad to direct in (i) pad to registered in (q) with latch transparent clock (ik) to registered in (q) 3 4 t pid t ptg t ikri 5.0 24.0 6.0 ns ns ns set-up time (input) pad to clock (ik) set-up time 1 t pick 22.0 ns propagation delays (output) clock (ok) to pad (fast) same (slew rate limited) output (o) to pad (fast) same (slew-rate limited) 3-state to pad begin hi-z (fast) same (slew-rate limited) 3-state to pad active and valid (fast) same (slew -rate limited) 7 7 10 10 9 9 8 8 t okpo t okpo t opf t ops t tshz t tshz t tson t tson 12.0 28.0 9.0 25.0 12.0 28.0 16.0 32.0 ns ns ns ns ns ns ns ns set-up and hold times (output) output (o) to clock (ok) set-up time output (o) to clock (ok) hold time 5 6 t ook t oko 12.0 0 ns ns clock clock high time clock low time max. flip-flop toggle rate 11 12 t ioh t iol f clk 5.0 5.0 80.0 ns ns mhz global reset delays (based on xc3042l) reset pad to registered in (q) reset pad to output pad (fast) (slew-rate limited) 13 15 15 t rri t rpo t rpo 25.0 35.0 51.0 ns ns ns
r xc3000 series field programmable gate arrays 7-52 november 9, 1998 (version 3.1) xc3000l iob switching characteristics guidelines (continued) 3 t pid i/o block (i) i/o pad input i/o clock (ik/ok) i/o block (ri) reset i/o block (o) i/o pad ts i/o pad output i/o pad output (direct) i/o pad output (registered) x5425 5 t ook 12 t iol 1 t pick 11 t ioh 4 t ikri 15 t rpo 13 t rri 6 t oko 9 t tshz 10 t op 7 t okpo 8 t tson flip flop q d r slew rate passive pull up output select 3-state invert out invert flip flop or latch d q r registered in direct in out 3- state (output enable) ttl or cmos input threshold output buffer (global reset) ck1 x3029 i/o pad vcc program-controlled memory cells programmable interconnection point or pip = ik ok q i o t program controlled multiplexer ck2
r november 9, 1998 (version 3.1) 7-53 xc3000 series field programmable gate arrays 7 xc3100a switching characteristics xilinx maintains test specifications for each product as controlled documents. to insure the use of the most recently released device performance parameters, please request a copy of the current test-specification revision. xc3100a operating conditions note: at junction temperatures above those listed as operating conditions, all delay parameters increase by 0.3% per c. xc3100a dc characteristics over operating conditions notes: 1. with no output current loads, no active input or longline pull-up resistors, all package pins at v cc or gnd, and the lca device configured with a tie option. 2. total continuous output sink current may not exceed 100 ma per ground pin. the number of ground pins varies from two for the xc3120a in the pc84 package, to eight for the xc3195a in the pq208 package. 3. not tested. allows an undriven pin to float high. for any other purpose, use an external pull-up. symbol description min max units v cc supply voltage relative to gnd commercial 0 c to +85 c junction 4.25 5.25 v supply voltage relative to gnd industrial -40 c to +100 c junction 4.5 5.5 v v iht high-level input voltage ttl configuration 2.0 v cc v v ilt low-level input voltage ttl configuration 0 0.8 v v ihc high-level input voltage cmos configuration 70% 100% v cc v ilc low-level input voltage cmos configuration 0 20% v cc t in input signal transition time 250 ns symbol description min max units v oh high-level output voltage (@ i oh = C8.0 ma, v cc min) commercial 3.86 v v ol low-level output voltage (@ i ol = 8.0 ma, v cc min) 0.40 v v oh high-level output voltage (@ i oh = C8.0 ma, v cc min) industrial 3.76 v v ol low-level output voltage (@ i ol = 8.0 ma, v cc min) 0.40 v v ccpd power-down supply voltage (pwrdwn must be low) 2.30 v i cco quiescent lca supply current in addition to i ccpd 1 chip thresholds programmed as cmos levels chip thresholds programmed as ttl levels 8 14 ma ma i il input leakage current C10 +10 m a c in input capacitance, all packages except pga175 (sample tested) all pins except xtl1 and xtl2 xtl1 and xtl2 10 15 pf pf input capacitance, pga 175 (sample tested) all pins except xtl1 and xtl2 xtl1 and xtl2 15 20 pf pf i rin pad pull-up (when selected) @ v in = 0 v 3 0.02 0.17 ma i rll horizontal longline pull-up (when selected) @ logic low 0.20 2.80 ma
r xc3000 series field programmable gate arrays 7-54 november 9, 1998 (version 3.1) xc3100a absolute maximum ratings note: stresses beyond those listed under absolute maximum ratings may cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those listed under recommended operating conditions is not implied. exposure to absolute maximum ratings conditions for extended periods of time may affect device reliability. xc3100a global buffer switching characteristics guidelines note: 1. timing is based on the xc3142a, for other devices see timing calculator. the use of two pull-up resistors per longline, available on other xc3000 devices, is not a valid design option for xc3100a devices. symbol description units v cc supply voltage relative to gnd C0.5 to +7.0 v v in input voltage with respect to gnd C0.5 to v cc +0.5 v v ts voltage applied to 3-state output C0.5 to v cc +0.5 v t stg storage temperature (ambient) C65 to +150 c t sol maximum soldering temperature (10 s @ 1/16 in.) +260 c t j junction temperature plastic +125 c junction temperature ceramic +150 c speed grade-4-3-2-1-09 description symbol max max max max max units global and alternate clock distribution 1 either: normal iob input pad through clock buffer to any clb or iob clock input or: fast (cmos only) input pad through clock buffer to any clb or iob clock input t pid t pidc 6.5 5.1 5.6 4.3 4.7 3.7 4.3 3.5 3.9 3.1 ns ns tbuf driving a horizontal longline (l.l.) 1 i to l.l. while t is low (buffer active) (xc3100) (xc3100a) t to l.l. active and valid with single pull-up resistor t to l.l. active and valid with pair of pull-up resistors t - to l.l. high with single pull-up resistor t - to l.l. high with pair of pull-up resistors t io t io t on t on t pus t puf 3.7 3.6 5.0 6.5 13.5 10.5 3.1 3.1 4.2 5.7 11.4 8.8 3.1 4.2 5.7 11.4 8.1 2.9 4.0 5.5 10.4 7.1 2.1 3.1 4.6 8.9 5.9 ns ns ns ns ns ns bidi bidirectional buffer delay t bidi 1.2 1.0 0.9 0.85 0.75 ns prelim
r november 9, 1998 (version 3.1) 7-55 xc3000 series field programmable gate arrays 7 xc3100a clb switching characteristics guidelines testing of the switching parameters is modeled after testing methods specified by mil-m-38510/605. all devices are 100% functionally tested. since many internal timing parameters cannot be measured directly, they are derived from benchmark timing patterns. the following guidelines reflect worst-case values over the recommended operating conditions. for more detailed, more precise, and more up-to-date timing information, use the values provided by the timing calculator and used in the simulator. notes: 1. the clb k to q output delay (t cko , #8) of any clb, plus the shortest possible interconnect delay, is always longer than the data in hold time requirement (t ckdi , #5) of any clb on the same die. 2. t ilo , t qlo and t ick are specified for 4-input functions. for 5-input functions or base fgm functions, each of these specifications for the xc3100a family increases by 0.50 ns (-5), 0.42 ns (-4) and 0.35 ns (-3), 0.35 ns (-2), 0.30 ns (-1), and 0.30 ns (-09). speed grade-4-3-2-1-09 description symbol min max min max min max min max min max units combinatorial delay logic variables a, b, c, d, e, to outputs x or y 1t ilo 3.3 2.7 2.2 1.75 1.5 ns sequential delay clock k to outputs x or y clock k to outputs x or y when q is returned through function generators f or g to drive x or y 8t cko t qlo 2.5 5.2 2.1 4.3 1.7 3.5 1.4 3.1 1.25 2.7 ns ns set-up time before clock k logic variables a, b, c, d, e data in di enable clock ec reset direct inactive rd 2 4 6 t ick t dick t ecck 2.5 1.6 3.2 1.0 2.1 1.4 2.7 1.0 1.8 1.3 2.5 1.0 1.7 1.2 2.3 1.0 1.5 1.0 2.05 1.0 ns ns ns ns hold time after clock k logic variables a, b, c, d, e data in di enable clock ec 3 5 7 t cki t ckdi t ckec 0 1.0 0.8 0 0.9 0.7 0 0.9 0.7 0 0.8 0.6 0 0.7 0.55 ns ns ns clock clock high time clock low time max. flip-flop toggle rate 11 12 t ch t cl f clk 2.0 2.0 227 1.6 1.6 270 1.3 1.3 323 1.3 1.3 323 1.3 1.3 370 ns ns mhz reset direct (rd) rd width delay from rd to outputs x or y 13 9 t rpw t rio 3.2 3.7 2.7 3.1 2.3 2.7 2.3 2.4 2.05 2.15 ns ns global reset (reset pad) 1 reset width (low) (xc3142a) delay from reset pad to outputs x or y t mrw t mrq 14.0 14.0 12.0 12.0 12.0 12.0 12.0 12.0 12.0 12.0 ns ns prelim
r xc3000 series field programmable gate arrays 7-56 november 9, 1998 (version 3.1) xc3100a clb switching characteristics guidelines (continued) 1 t ilo clb output (x, y) (combinatorial) clb input (a,b,c,d,e) clb clock clb input (direct in) clb input (enable clock) clb output (flip-flop) clb input (reset direct) clb output (flip-flop) 8 t cko x5424 13 t t rpw 9 t rio 4 t dick 6 t ecck 12 t cl 2 t ick 3 t cki 11 t ch 5 t ckdi 7 t ckec
r november 9, 1998 (version 3.1) 7-57 xc3000 series field programmable gate arrays 7 xc3100a iob switching characteristics guidelines testing of the switching parameters is modeled after testing methods specified by mil-m-38510/605. all devices are 100% functionally tested. since many internal timing parameters cannot be measured directly, they are derived from benchmark timing patterns. the following guidelines reflect worst-case values over the recommended operating conditions. for more detailed, more precise, and more up-to-date timing information, use the values provided by the timing calculator and used in the simulator. notes: 1. timing is measured at pin threshold, with 50 pf external capacitive loads (incl. test fixture). for larger capacitive loads, see xapp024. typical slew rate limited output rise/fall times are approximately four times longer. 2. voltage levels of unused (bonded and unbonded) pads must be valid logic levels. each can be configured with the internal pull-up resistor or alternatively configured as a driven output or driven from an external source. 3. input pad set-up time is specified with respect to the internal clock (ik). in order to calculate system set-up time, subtrac t clock delay (pad to ik) from the input pad set-up time value. input pad holdtime with respect to the internal clock (ik) is negative. this means that pad level changes immediately before the internal clock edge (ik) will not be recognized. 4. t pid , t ptg , and t pick are 3 ns higher for xtl2 when the pin is configured as a user input. speed grade-4-3-2-1-09 description symbol min max min max min max min max min max units propagation delays (input) pad to direct in (i) pad to registered in (q) with latch transparent(xc3100a)clock (ik) to registered in (q) 3 4 t pid t ptg t ikri 2.5 12.0 2.5 2.2 11.0 2.2 2.0 11.0 1.9 1.7 10.0 1.7 1.55 9.2 1.55 ns ns ns set-up time (input) pad to clock (ik) set-up time xc3120a, xc3130a xc3142a xc3164a xc3190a xc3195a 1t pick 10.6 10.7 11.0 11.2 11.6 9.4 9.5 9.7 9.9 10.3 8.9 9.0 9.2 9.4 9.8 8.0 8.1 8.3 8.5 8.9 7.2 7.3 7.5 7.7 8.1 ns ns ns ns ns propagation delays (output) clock (ok) to pad (fast) same (slew rate limited) output (o) to pad (fast) same (slew-rate limited) (xc3100a) 3-state to pad begin hi-z (fast) same (slew-rate limited) 3-state to pad active and valid (fast) (xc3100a) same (slew -rate limited) 7 7 10 10 9 9 8 8 t okpo t okpo t opf t ops t tshz t tshz t tson t tson 5.0 12.0 3.7 11.0 6.2 6.2 10.0 17.0 4.4 10.0 3.3 9.0 5.5 5.5 9.0 15.0 3.7 9.7 3.0 8.7 5.0 5.0 8.5 14.2 3.4 8.4 3.0 8.0 4.5 4.5 6.5 11.5 3.3 6.9 2.9 6.5 4.05 4.05 5.0 8.6 ns ns ns ns ns ns ns ns ns set-up and hold times (output) output (o) to clock (ok) set-up time (xc3100a) output (o) to clock (ok) hold time 5 6 t ook t oko 4.5 0 3.6 0 3.2 0 2.9 ns ns clock clock high time clock low time max. flip-flop toggle rate 11 12 t ioh t iol f clk 2.0 2.0 227 1.6 1.6 270 1.3 1.3 323 1.3 1.3 323 1.3 1.3 370 ns ns mhz global reset delays reset pad to registered in (q) (xc3142a) (xc3190a) reset pad to output pad (fast) (slew-rate limited) 13 15 15 t rri t rpo t rpo 15.0 25.5 20.0 27.0 13.0 21.0 17.0 23.0 13.0 21.0 17.0 23.0 13.0 21.0 17.0 22.0 14.4 21.0 17.0 21.0 ns ns ns ns preliminary
r xc3000 series field programmable gate arrays 7-58 november 9, 1998 (version 3.1) xc3100a iob switching characteristics guidelines (continued) 3 t pid i/o block (i) i/o pad input i/o clock (ik/ok) i/o block (ri) reset i/o block (o) i/o pad ts i/o pad output i/o pad output (direct) i/o pad output (registered) x5425 5 t ook 12 t iol 1 t pick 11 t ioh 4 t ikri 15 t rpo 13 t rri 6 t oko 9 t tshz 10 t op 7 t okpo 8 t tson flip flop q d r slew rate passive pull up output select 3-state invert out invert flip flop or latch d q r registered in direct in out 3- state (output enable) ttl or cmos input threshold output buffer (global reset) ck1 x3029 i/o pad vcc program-controlled memory cells programmable interconnection point or pip = ik ok q i o t program controlled multiplexer ck2
r november 9, 1998 (version 3.1) 7-59 xc3000 series field programmable gate arrays 7 xc3100l switching characteristics xilinx maintains test specifications for each product as controlled documents. to insure the use of the most recently released device performance parameters, please request a copy of the current test-specification revision. xc3100l operating conditions notes: 1. at junction temperatures above those listed as operating conditions, all delay parameters increase by 0.3% per c. 2. although the present (1996) devices operate over the full supply voltage range from 3.0 v to 5.25 v, xilinx reserves the rig ht to restrict operation to the 3.0 and 3.6 v range later, when smaller device geometries might preclude operation @ 5 v. operating conditions are guaranteed in the 3.0 C 3.6 v v cc range. xc3100l dc characteristics over operating conditions notes: 1. with no output current loads, no active input or long line pull-up resistors, all package pins at v cc or gnd, and the fpga configured with a tie option. 2. total continuous output sink current may not exceed 100 ma per ground pin. total continuous output source current may not exceed 100 ma per v cc pin. the number of ground pins varies from the xc3142l to the xc3190l. 3. not tested. allows undriven pins to float high. for any other purpose, use an external pull-up. symbol description min max units v cc supply voltage relative to gnd commercial 0 c to +85 c junction 3.0 3.6 v v ih high-level input voltage 2.0 v cc + 0.3 v v il low-level input voltage -0.3 0.8 v t in input signal transition time 250 ns symbol description min max units v oh high-level output voltage (@ i oh = -4.0 ma, v cc min) 2.4 v high-level output voltage (@ i oh = -100.0 m a, v cc min) v cc -0.2 v v ol low-level output voltage (@ i oh = 4.0 ma, v cc min) 0.40 v low-level output voltage (@ i oh = +100.0 m a, v cc min) 0.2 v v ccpd power-down supply voltage (pwrdwn must be low) 2.30 v i cco quiescent fpga supply current chip thresholds programmed as cmos levels 1 1.5 ma i il input leakage current -10 +10 m a c in input capacitance (sample tested) all pins except xtl1 and xtl2 xtl1 and xtl2 10 15 pf pf i rin pad pull-up (when selected) @ v in = 0 v 3 0.02 0.17 ma i rll horizontal long line pull-up (when selected) @ logic low 0.20 2.80 ma
r xc3000 series field programmable gate arrays 7-60 november 9, 1998 (version 3.1) xc3100l absolute maximum ratings note: stresses beyond those listed under absolute maximum ratings may cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those listed under recommended operating conditions is not implied. exposure to absolute maximum ratings conditions for extended periods of time may affect device reliability. xc3100l global buffer switching characteristics guidelines notes: 1. timing is based on the xc3142l, for other devices see timing calculator. 2. the use of two pull-up resistors per longline, available on other xc3000 devices, is not a valid option for xc3100l devices. symbol description units v cc supply voltage relative to gnd C0.5 to +7.0 v v in input voltage with respect to gnd C0.5 to v cc +0.5 v v ts voltage applied to 3-state output C0.5 to v cc +0.5 v t stg storage temperature (ambient) C65 to +150 c t sol maximum soldering temperature (10 s @ 1/16 in.) +260 c t j junction temperature plastic +125 c junction temperature ceramic +150 c speed grade -3 -2 description symbol max max units global and alternate clock distribution 1 either: normal iob input pad through clock buffer to any clb or iob clock input or: fast (cmos only) input pad through clock buffer to any clb or iob clock input t pid t pidc 5.6 4.3 4.7 3.7 ns ns tbuf driving a horizontal longline (l.l.) 1 i to l.l. while t is low (buffer active) t to l.l. active and valid with single pull-up resistor t - to l.l. high with single pull-up resistor t io t on t pus 3.1 4.2 11.4 3.1 4.2 11.4 ns ns ns bidi bidirectional buffer delay t bidi 1.0 0.9 ns advance
r november 9, 1998 (version 3.1) 7-61 xc3000 series field programmable gate arrays 7 xc3100l clb switching characteristics guidelines testing of the switching parameters is modeled after testing methods specified by mil-m-38510/605. all devices are 100% functionally tested. since many internal timing parameters cannot be measured directly, they are derived from benchmark timing patterns. the following guidelines reflect worst-case values over the recommended operating conditions. for more detailed, more precise, and more up-to-date timing information, use the values provided by the timing calculator and used in the simulator. notes: 1. the clb k to q delay (t cko , #8) of any clb, plus the shortest possible interconnect delay, is always longer than the data in hold time requirement (t ckdi , #5) of any clb on the same die. 2. t ilo , t qlo and t ick are specified for 4-input functions. for 5-input functions or base fgm functions, each of these specifications for the xc3100l family increase by 0.35 ns (-3) and 0.29 ns (-2). speed grade -3 -2 description symbol min max min max units combinatorial delay logic variables a, b, c, d, e, to outputs x or y 1 t ilo 2.7 2.2 ns sequential delay clock k to outputs x or y clock k to outputs x or y when q is returned through function generators f or g to drive x or y 8t cko t qlo 2.1 4.3 1.7 3.5 ns ns set-up time before clock k logic variables a, b, c, d, e data in di enable clock ec reset direct inactive rd 2 4 6 t ick t dick t ecck 2.1 1.4 2.7 1.0 1.8 1.3 2.5 1.0 ns ns ns ns hold time after clock k logic variables a, b, c, d, e data in di enable clock ec 3 5 7 t cki t ckdi t ckec 0 0.9 0.7 0 0.9 0.7 ns ns ns clock clock high time clock low time max. flip-flop toggle rate 11 12 t ch t cl f clk 1.6 1.6 270 1.3 1.3 325 ns ns mhz reset direct (rd) rd width delay from rd to outputs x or y 13 9 t rpw t rio 2.7 3.1 2.3 2.7 ns ns global reset (reset pad) reset width (low) (xc3142l) delay from reset pad to outputs x or y t mrw t mrq 12.0 12.0 12.0 12.0 ns ns advance
r xc3000 series field programmable gate arrays 7-62 november 9, 1998 (version 3.1) xc3100l clb switching characteristics guidelines (continued) 1 t ilo clb output (x, y) (combinatorial) clb input (a,b,c,d,e) clb clock clb input (direct in) clb input (enable clock) clb output (flip-flop) clb input (reset direct) clb output (flip-flop) 8 t cko x5424 13 t t rpw 9 t rio 4 t dick 6 t ecck 12 t cl 2 t ick 3 t cki 11 t ch 5 t ckdi 7 t ckec
r november 9, 1998 (version 3.1) 7-63 xc3000 series field programmable gate arrays 7 xc3100l iob switching characteristics guidelines testing of the switching parameters is modeled after testing methods specified by mil-m-38510/605. all devices are 100% functionally tested. since many internal timing parameters cannot be measured directly, they are derived from benchmark timing patterns. the following guidelines reflect worst-case values over the recommended operating conditions. for more detailed, more precise, and more up-to-date timing information, use the values provided by the timing calculator and used in the simulator. notes: 1. timing is measured at pin threshold, with 50 pf external capacitive loads (incl. test fixture). typical slew rate limited out put rise/fall times are approximately four times longer. 2. voltage levels of unused (bonded and unbonded) pads must be valid logic levels. each can be configured with the internal pull-up resistor or alternatively configured as a driven output or driven from an external source. 3. input pad set-up time is specified with respect to the internal clock (ik). in order to calculate system set-up time, subtrac t clock delay (pad to ik) from the input pad set-up time value. input pad holdtime with respect to the internal clock (ik) is negative. this means that pad level changes immediately before the internal clock edge (ik) will not be recognized. speed grade -3 -2 description symbol min max min max units propagation delays (input) pad to direct in (i) pad to registered in (q) with latch (xc3100l) transparent clock (ik) to registered in (q) 3 4 t pid t ptg t ikri 2.2 11.0 2.2 2.0 11.0 1.9 ns ns ns set-up time (input) pad to clock (ik) set-up time xc3142l xc3190l 1t pick 9.5 9.9 9.0 9.4 ns ns propagation delays (output) clock (ok) to pad (fast) same (slew rate limited) output (o) to pad (fast) same (slew-rate limited)(xc3100l) 3-state to pad begin hi-z (fast) same (slew-rate limited) 3-state to pad active and valid (fast)(xc3100l) same (slew -rate limited) 7 7 10 10 9 9 8 8 t okpo t ok po t opf t opf t tshz t tshz t tson t tson 4.4 10.0 3.3 9.0 5.5 5.5 9.0 15.0 4.0 9.7 3.0 8.7 5.0 5.0 8.5 14.2 ns ns ns ns ns ns ns ns set-up and hold times (output) output (o) to clock (ok) set-up time (xc3100l) output (o) to clock (ok) hold time 5 6 t ook t oko 4.0 0 3.6 0 ns ns clock clock high time clock low time export control maximum flip-flop toggle rate 11 12 t ioh t iol f tog 1.6 1.6 270 1.3 1.3 325 ns ns mhz global reset delays reset pad to registered in (q) (xc3142l) (xc3190l) reset pad to output pad (fast) (slew-rate limited) 13 15 15 t rri t rpo t rpo 16.0 21.0 17.0 23.0 16.0 21.0 17.0 23.0 ns ns ns ns advance
r xc3000 series field programmable gate arrays 7-64 november 9, 1998 (version 3.1) xc3100l iob switching characteristics guidelines (continued) 3 t pid i/o block (i) i/o pad input i/o clock (ik/ok) i/o block (ri) reset i/o block (o) i/o pad ts i/o pad output i/o pad output (direct) i/o pad output (registered) x5425 5 t ook 12 t iol 1 t pick 11 t ioh 4 t ikri 15 t rpo 13 t rri 6 t oko 9 t tshz 10 t op 7 t okpo 8 t tson flip flop q d r slew rate passive pull up output select 3-state invert out invert flip flop or latch d q r registered in direct in out 3- state (output enable) ttl or cmos input threshold output buffer (global reset) ck1 x3029 i/o pad vcc program-controlled memory cells programmable interconnection point or pip = ik ok q i o t program controlled multiplexer ck2
r november 9, 1998 (version 3.1) 7-65 xc3000 series field programmable gate arrays 7 xc3000 series pin assignments xilinx offers the six different array sizes in the xc3000 families in a variety of surface-mount and through-hole package types, with pin counts from 44 to 208. each chip is offered in several package types to accommodate the available pc board space and manufacturing technology. most package types are also offered with different chips to accommodate design changes without the need for pc board changes. note that there is no perfect match between the number of bonding pads on the chip and the number of pins on a package. in some cases, the chip has more pads than there are pins on the package, as indicated by the information (unused pads) below the line in the following table. the iobs of the unconnected pads can still be used as storage elements if the specified propagation delays and set-up times are acceptable. in other cases, the chip has fewer pads than there are pins on the package; therefore, some package pins are not connected (n.c.), as shown above the line in the following table. xc3000 series 44-pin plcc pinouts xc3000a, xc3000l, and xc3100a families have identical pinouts peripheral mode and master parallel mode are not supported in the pc44 package pin no. xc3030a pin no. xc3030a 1gnd 23gnd 2 i/o 24 i/o 3 i/o 25 i/o 4 i/o 26 xtl2(in)-i/o 5 i/o 27 reset 6 i/o 28 done-pgm 7pwrdwn 29 i/o 8 tclkin-i/o 30 xtl1(out)-bclk-i/o 9 i/o 31 i/o 10 i/o 32 i/o 11 i/o 33 i/o 12 vcc 34 vcc 13 i/o 35 i/o 14 i/o 36 i/o 15 i/o 37 i/o 16 m1-rdata 38 din-i/o 17 m0-rtrig 39 dout-i/o 18 m2-i/o 40 cclk 19 hdc-i/o 41 i/o 20 ldc-i/o 42 i/o 21 i/o 43 i/o 22 init -i/o 44 i/o
r xc3000 series field programmable gate arrays 7-66 november 9, 1998 (version 3.1) xc3000 series 64-pin plastic vqfp pinouts xc3000a, xc3000l, and xc3100a families have identical pinouts pin no. xc3030a pin no. xc3030a 1a0-ws -i/o 33 m2-i/o 2 a1-cs2-i/o 34 hdc-i/o 3a2-i/o 35 i/o 4a3-i/o 36ldc -i/o 5a4-i/o 37 i/o 6 a14-i/o 38 i/o 7a5-i/o 39 i/o 8 gnd 40 init -i/o 9 a13-i/o 41 gnd 10 a6-i/o 42 i/o 11 a12-i/o 43 i/o 12 a7-i/o 44 i/o 13 a11-i/o 45 i/o 14 a8-i/o 46 i/o 15 a10-i/o 47 xtal2(in)-i/o 16 a9-i/o 48 reset 17 pwrdn 49 done-pg 18 tclkin-i/o 50 d7-i/o 19 i/o 51 xtal1(out)-bclkin-i/o 20 i/o 52 d6-i/o 21 i/o 53 d5-i/o 22 i/o 54 cs0 -i/o 23 i/o 55 d4-i/o 24 vcc 56 vcc 25 i/o 57 d3-i/o 26 i/o 58 cs1 -i/o 27 i/o 59 d2-i/o 28 i/o 60 d1-i/o 29 i/o 61 rdy/busy -rclk -i/o 30 i/o 62 d0-din-i/o 31 m1-rdata 63 dout-i/o 32 m0-rtrig 64 cclk
r november 9, 1998 (version 3.1) 7-67 xc3000 series field programmable gate arrays 7 xc3000 series 68-pin plcc, 84-pin plcc and pga pinouts xc3000a, xc3000l, xc3100a, and xc3100l families have identical pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed outputs are default slew-rate limited. this table describes the pinouts of three different chips in three different packages. the pin-description column lists 84 of t he 118 pads on the xc3042a (and 84 of the 98 pads on the xc3030a) that are connected to the 84 package pins. ten pads, indicated by an asterisk, do not exist on the xc3020a, which has 74 pads; therefore the corresponding pins on the 84-pin packages have no connections to an xc3020a. six pads on the xc3020a and 16 pads on the xc3030a, indicated by a dash () in the 68 plcc column, have no connection to the 68 plcc, but are connected to the 84-pin packages. 68 plcc xc3020a, xc3030a, xc3042a 84 plcc 68 plcc xc3020a, xc3030a, xc3042a 84 plcc xc3030a xc3020a xc3030a xc3020a 10 10 pwrdn 12 44 44 reset 54 11 11 tclkin-i/o 13 45 45 done-pg 55 12 i/o* 14 46 46 d7-i/o 56 13 12 i/o 15 47 47 xtl1(out)-bclkin-i/o 57 14 13 i/o 16 48 48 d6-i/o 58 i/o 17 i/o 59 15 14 i/o 18 49 49 d5-i/o 60 16 15 i/o 19 50 50 cs0 -i/o 61 16 i/o 20 51 51 d4-i/o 62 17 17 i/o 21 i/o 63 18 18 vcc 22 52 52 vcc 64 19 19 i/o 23 53 53 d3-i/o 65 i/o 24 54 54 cs1 -i/o 66 20 20 i/o 25 55 55 d2-i/o 67 21 i/o 26 i/o 68 21 22 i/o 27 i/o* 69 22 i/o 28 56 56 d1-i/o 70 23 23 i/o 29 57 57 rdy/busy -rclk -i/o 71 24 24 i/o 30 58 58 d0-din-i/o 72 25 25 m1-rdata 31 59 59 dout-i/o 73 26 26 m0-rtrig 32 60 60 cclk 74 27 27 m2-i/o 33 61 61 a0-ws -i/o 75 28 28 hdc-i/o 34 62 62 a1-cs2-i/o 76 29 29 i/o 35 63 63 a2-i/o 77 30 30 ldc -i/o 36 64 64 a3-i/o 78 31 i/o 37 i/o* 79 i/o* 38 i/o* 80 31 32 i/o 39 65 65 a15-i/o 81 32 33 i/o 40 66 66 a4-i/o 82 33 i/o* 41 67 67 a14-i/o 83 34 34 init -i/o 42 68 68 a5-i/o 84 35 35 gnd 43 1 1 gnd 1 36 36 i/o 44 2 2 a13-i/o 2 37 37 i/o 45 3 3 a6-i/o 3 38 38 i/o 46 4 4 a12-i/o 4 39 39 i/o 47 5 5 a7-i/o 5 40 i/o 48 i/o* 6 41 i/o 49 i/o* 7 40 i/o* 50 6 6 a11-i/o 8 41 i/o* 51 7 7 a8-i/o 9 42 42 i/o 52 8 8 a10-i/o 10 43 43 xtl2(in)-i/o 53 9 9 a9-i/o 11
r xc3000 series field programmable gate arrays 7-68 november 9, 1998 (version 3.1) xc3064a/xc3090a/xc3195a 84-pin plcc pinouts xc3000a, xc3000l, xc3100a, and xc3100l families have identical pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed outputs are default slew-rate limited. * in the pc84 package, xc3064a, xc3090a and xc3195a have additional vcc and gnd pins and thus a different pin definition than xc3020a/xc3030a/xc3042a. plcc pin number xc3064a, xc3090a, xc3195a plcc pin number xc3064a, xc3090a, xc3195a 12 pwrdn 54 reset 13 tclkin-i/o 55 done-pg 14 i/o 56 d7-i/o 15 i/o 57 xtl1(out)-bclkin-i/o 16 i/o 58 d6-i/o 17 i/o 59 i/o 18 i/o 60 d5-i/o 19 i/o 61 cs0 -i/o 20 i/o 62 d4-i/o 21 gnd* 63 i/o 22 vcc 64 vcc 23 i/o 65 gnd* 24 i/o 66 d3-i/o* 25 i/o 67 cs1 -i/o* 26 i/o 68 d2-i/o* 27 i/o 69 i/o 28 i/o 70 d1-i/o 29 i/o 71 rdy/busy -rclk -i/o 30 i/o 72 d0-din-i/o 31 m1-rdata 73 dout-i/o 32 m0-rtrig 74 cclk 33 m2-i/o 75 a0-ws -i/o 34 hdc-i/o 76 a1-cs2-i/o 35 i/o 77 a2-i/o 36 ldc -i/o 78 a3-i/o 37 i/o 79 i/o 38 i/o 80 i/o 39 i/o 81 a15-i/o 40 i/o 82 a4-i/o 41 init/i/o* 83 a14-i/o 42 vcc* 84 a5-i/o 43 gnd 1 gnd 44 i/o 2 vcc* 45 i/o 3 a13-i/o* 46 i/o 4 a6-i/o* 47 i/o 5 a12-i/o* 48 i/o 6 a7-i/o* 49 i/o 7 i/o 50 i/o 8 a11-i/o 51 i/o 9 a8-i/o 52 i/o 10 a10-i/o 53 xtl2(in)-i/o 11 a9-i/o
r november 9, 1998 (version 3.1) 7-69 xc3000 series field programmable gate arrays 7 xc3000 series 100-pin qfp pinouts xc3000a, xc3000l, xc3100a, and xc3100l families have identical pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed outputs are default slew-rate limited. * this table describes the pinouts of three different chips in three different packages. the pin-description column lists 100 o f the 118 pads on the xc3042a that are connected to the 100 package pins. two pads, indicated by double asterisks, do not exist on the xc3030a, which has 98 pads; therefore the corresponding pins have no connections. twenty-six pads, indicated by single or double asterisks, do not exist on the xc3020a, which has 74 pads; therefore, the corresponding pins have no connections. (see table on page 65 .) pin no. xc3020a xc3030a xc3042a pin no. xc3020a xc3030a xc3042a pin no. xc3020a xc3030a xc3042a pqfp tqfp vqfp pqfp tqfp vqfp pqfp tqfp vqfp 16 13 gnd 50 47 i/o* 84 81 i/o* 17 14 a13-i/o 51 48 i/o* 85 82 i/o* 18 15 a6-i/o 52 49 m1-rd 86 83 i/o 19 16 a12-i/o 53 50 gnd* 87 84 d5-i/o 20 17 a7-i/o 54 51 mo-rt 88 85 cs0 -i/o 21 18 i/o* 55 52 vcc* 89 86 d4-i/o 22 19 i/o* 56 53 m2-i/o 90 87 i/o 23 20 a11-i/o 57 54 hdc-i/o 91 88 vcc 24 21 a8-i/o 58 55 i/o 92 89 d3-i/o 25 22 a10-i/o 59 56 ldc -i/o 93 90 cs1 -i/o 26 23 a9-i/o 60 57 i/o* 94 91 d2-i/o 27 24 vcc* 61 58 i/o* 95 92 i/o 28 25 gnd* 62 59 i/o 96 93 i/o* 29 26 pwrdn 63 60 i/o 97 94 i/o* 30 27 tclkin-i/o 64 61 i/o 98 95 d1-i/o 31 28 i/o** 65 62 init -i/o 99 96 rdy/busy -rclk -i/o 32 29 i/o* 66 63 gnd 100 97 do-din-i/o 33 30 i/o* 67 64 i/o 1 98 dout-i/o 34 31 i/o 68 65 i/o 2 99 cclk 35 32 i/o 69 66 i/o 3 100 vcc* 36 33 i/o 70 67 i/o 4 1 gnd* 37 34 i/o 71 68 i/o 5 2 ao-ws -i/o 38 35 i/o 72 69 i/o 6 3 a1-cs2-i/o 39 36 i/o 73 70 i/o 7 4 i/o** 40 37 i/o 74 71 i/o* 8 5 a2-i/o 41 38 vcc 75 72 i/o* 9 6 a3-i/o 42 39 i/o 76 73 xtl2-i/o 10 7 i/o* 43 40 i/o 77 74 gnd* 11 8 i/o* 44 41 i/o 78 75 reset 12 9 a15-i/o 45 42 i/o 79 76 vcc* 13 10 a4-i/o 46 43 i/o 80 77 done-pg 14 11 a14-i/o 47 44 i/o 81 78 d7-i/o 15 12 a5-i/o 48 45 i/o 82 79 bclkin-xtl1-i/o 49 46 i/o 83 80 d6-i/o
r xc3000 series field programmable gate arrays 7-70 november 9, 1998 (version 3.1) xc3000 series 132-pin ceramic and plastic pga pinouts xc3000a, xc3000l, xc3100a, and xc3100l families have identical pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed outputs are default slew-rate limited. * indicates unconnected package pins (14) for the xc3042a. pga pin number xc3042a xc3064a pga pin number xc3042a xc3064a pga pin number xc3042a xc3064a pga pin number xc3042a xc3064a c4 gnd b13 m1-rd p14 reset m3 dout-i/o a1 pwrdn c11 gnd m11 vcc p1 cclk c3 i/o-tclkin a14 m0-rt n13 done-pg m4 vcc b2 i/o d12 vcc m12 d7-i/o l3 gnd b3 i/o c13 m2-i/o p13 xtl1-i/o-bclkin m2 a0-ws -i/o a2 i/o* b14 hdc-i/o n12 i/o n1 a1-cs2-i/o b4 i/o c14 i/o p12 i/o m1 i/o c5 i/o e12 i/o n11 d6-i/o k3 i/o a3 i/o* d13 i/o m10 i/o l2 a2-i/o a4 i/o d14 ldc -i/o p11 i/o* l1 a3-i/o b5 i/o e13 i/o* n10 i/o k2 i/o c6 i/o f12 i/o p10 i/o j3 i/o a5 i/o e14 i/o m9 d5-i/o k1 a15-i/o b6 i/o f13 i/o n9 cs0 -i/o j2 a4-i/o a6 i/o f14 i/o p9 i/o* j1 i/o* b7 i/o g13 i/o p8 i/o* h1 a14-i/o c7 gnd g14 init -i/o n8 d4-i/o h2 a5-i/o c8 vcc g12 vcc p7 i/o h3 gnd a7 i/o h12 gnd m8 vcc g3 vcc b8 i/o h14 i/o m7 gnd g2 a13-i/o a8 i/o h13 i/o n7 d3-i/o g1 a6-i/o a9 i/o j14 i/o p6 cs1 -i/o f1 i/o* b9 i/o j13 i/o n6 i/o* f2 a12-i/o c9 i/o k14 i/o p5 i/o* e1 a7-i/o a10 i/o j12 i/o m6 d2-i/o f3 i/o b10 i/o k13 i/o n5 i/o e2 i/o a11 i/o* l14 i/o* p4 i/o d1 a11-i/o c10 i/o l13 i/o p3 i/o d2 a8-i/o b11 i/o k12 i/o m5 d1-i/o e3 i/o a12 i/o* m14 i/o n4 rdy/busy -rclk -i/o c1 i/o b12 i/o n14 i/o p2 i/o b1 a10-i/o a13 i/o* m13 xtl2(in)-i/o n3 i/o c2 a9-i/o c12 i/o l12 gnd n2 d0-din-i/o d3 vcc
r november 9, 1998 (version 3.1) 7-71 xc3000 series field programmable gate arrays 7 xc3000 series 144-pin plastic tqfp pinouts xc3000a, xc3000l, xc3100a, and xc3100l families have identical pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed outputs are default slew-rate limited. * indicates unconnected package pins (24) for the xc3042a. pin number xc3042a xc3064a xc3090a pin number xc3042a xc3064a xc3090a pin number xc3042a xc3064a xc3090a 1pwrdn 49 i/o 97 i/o 2 i/o-tclkin 50 i/o* 98 i/o 3 i/o* 51 i/o 99 i/o* 4 i/o 52 i/o 100 i/o 5 i/o 53 init -i/o 101 i/o* 6 i/o* 54 vcc 102 d1-i/o 7 i/o 55 gnd 103 rdy/busy -rclk -i/o 8 i/o 56 i/o 104 i/o 9 i/o* 57 i/o 105 i/o 10 i/o 58 i/o 106 d0-din-i/o 11 i/o 59 i/o 107 dout-i/o 12 i/o 60 i/o 108 cclk 13 i/o 61 i/o 109 vcc 14 i/o 62 i/o 110 gnd 15 i/o* 63 i/o* 111 a0-ws -i/ o 16 i/o 64 i/o* 112 a1-cs2-i/o 17 i/o 65 i/o 113 i/o 18 gnd 66 i/o 114 i/o 19 vcc 67 i/o 115 a2-i/o 20 i/o 68 i/o 116 a3-i/o 21 i/o 69 xtl2(in)-i/o 117 i/o 22 i/o 70 gnd 118 i/o 23 i/o 71 reset 119 a15-i/o 24 i/o 72 vcc 120 a4-i/o 25 i/o 73 done-pg 121 i/o* 26 i/o 74 d7-i/o 122 i/o* 27 i/o 75 xtl1(out)-bclkin-i/o 123 a14-i/o 28 i/o* 76 i/o 124 a5-i/o 29 i/o 77 i/o 125 i/o (xc3090 only) 30 i/o 78 d6-i/o 126 gnd 31 i/o* 79 i/o 127 vcc 32 i/o* 80 i/o* 128 a13-i/o 33 i/o 81 i/o 129 a6-i/o 34 i/o* 82 i/o 130 i/o* 35 i/o 83 i/o* 131 i/o (xc3090 only) 36 m1-rd 84 d5-i/o 132 i/o* 37 gnd 85 cs0 -i/o 133 a12-i/o 38 m0-rt 86 i/o* 134 a7-i/o 39 vcc 87 i/o* 135 i/o 40 m2-i/o 88 d4-i/o 136 i/o 41 hdc-i/o 89 i/o 137 a11-i/o 42 i/o 90 vcc 138 a8-i/o 43 i/o 91 gnd 139 i/o 44 i/o 92 d3-i/o 140 i/o 45 ldc -i/o 93 cs1 -i/o 141 a10-i/o 46 i/o* 94 i/o* 142 a9-i/o 47 i/o 95 i/o* 143 vcc 48 i/o 96 d2-i/o 144 gnd
r xc3000 series field programmable gate arrays 7-72 november 9, 1998 (version 3.1) xc3000 series 160-pin pqfp pinouts xc3000a, xc3000l, xc3100a, and xc3100l families have identical pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed iobs are default slew-rate limited. * indicates unconnected package pins (18) for the xc3064a. pqfp pin number xc3064a, xc3090a, xc3195a 1 i/o* 2 i/o* 3 i/o* 4 i/o 5 i/o 6 i/o 7 i/o 8 i/o 9 i/o 10 i/o 11 i/o 12 i/o 13 i/o 14 i/o 15 i/o 16 i/o 17 i/o 18 i/o 19 gnd 20 vcc 21 i/o* 22 i/o 23 i/o 24 i/o 25 i/o 26 i/o 27 i/o 28 i/o 29 i/o 30 i/o 31 i/o 32 i/o 33 i/o 34 i/o 35 i/o 36 i/o 37 i/o 38 i/o* 39 i/o* 40 m1-rdata 41 gnd 42 m0Crtrig 43 vcc 44 m2-i/o 45 hdc-i/o 46 i/o 47 i/o 48 i/o 49 ldc -i/o 50 i/o* 51 i/o* 52 i/o 53 i/o 54 i/o 55 i/o 56 i/o 57 i/o 58 i/o 59 init -i/o 60 vcc 61 gnd 62 i/o 63 i/o 64 i/o 65 i/o 66 i/o 67 i/o 68 i/o 69 i/o 70 i/o 71 i/o 72 i/o 73 i/o 74 i/o 75 i/o* 76 xtl2-i/o 77 gnd 78 reset 79 vcc 80 done/pg pqfp pin number xc3064a, xc3090a, xc3195a 81 d7-i/o 82 xtl1-i/o-bclkin 83 i/o* 84 i/o 85 i/o 86 d6-i/o 87 i/o 88 i/o 89 i/o 90 i/o 91 i/o 92 d5-i/o 93 cs0 -i/o 94 i/o* 95 i/o* 96 i/o 97 i/o 98 d4-i/o 99 i/o 100 vcc 101 gnd 102 d3-i/o 103 cs1 -i/o 104 i/o 105 i/o 106 i/o* 107 i/o* 108 d2-i/o 109 i/o 110 i/o 111 i/o 112 i/o 113 i/o 114 d1-i/o 115 rdy/busy -rclk -i/o 116 i/o 117 i/o 118 i/o* 119 d0-din-i/o 120 dout-i/o pqfp pin number xc3064a, xc3090a, xc3195a 121 cclk 122 vcc 123 gnd 124 a0-ws -i/o 125 a1-cs2-i/o 126 i/o 127 i/o 128 a2-i/o 129 a3-i/o 130 i/o 131 i/o 132 a15-i/o 133 a4-i/o 134 i/o 135 i/o 136 a14-i/o 137 a5-i/o 138 i/o* 139 gnd 140 vcc 141 a13-i/o 142 a6-i/o 143 i/o* 144 i/o* 145 i/o 146 i/o 147 a12-i/o 148 a7-i/o 149 i/o 150 i/o 151 a11-i/o 152 a8-i/o 153 i/o 154 i/o 155 a10-i/o 156 a9-i/o 157 vcc 158 gnd 159 pwrdwn 160 tclkin-i/o pqfp pin number xc3064a, xc3090a, xc3195a
r november 9, 1998 (version 3.1) 7-73 xc3000 series field programmable gate arrays 7 xc3000 series 175-pin ceramic and plastic pga pinouts xc3000a, xc3000l, xc3100a, and xc3100l families have identical pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed outputs are default slew-rate limited. pins a2, a3, a15, a16, t1, t2, t3, t15 and t16 are not connected. pin a1 does not exist. pga pin number xc3090a, xc3195a b2 pwrdn d4 tclkin-i/o b3 i/o c4 i/o b4 i/o a4 i/o d5 i/o c5 i/o b5 i/o a5 i/o c6 i/o d6 i/o b6 i/o a6 i/o b7 i/o c7 i/o d7 i/o a7 i/o a8 i/o b8 i/o c8 i/o d8 gnd d9 vcc c9 i/o b9 i/o a9 i/o a10 i/o d10 i/o c10 i/o b10 i/o a11 i/o b11 i/o d11 i/o c11 i/o a12 i/o b12 i/o c12 i/o d12 i/o a13 i/o b13 i/o c13 i/o a14 i/o d13 i/o b14 m1-rdata c14 gnd b15 m0-rtrig d14 vcc c15 m2-i/o e14 hdc-i/o b16 i/o d15 i/o c16 i/o d16 ldc -i/o f14 i/o e15 i/o e16 i/o f15 i/o f16 i/o g14 i/o g15 i/o g16 i/o h16 i/o h15 init -i/o h14 vcc j14 gnd j15 i/o j16 i/o k16 i/o k15 i/o k14 i/o l16 i/o l15 i/o m16 i/o m15 i/o l14 i/o n16 i/o p16 i/o n15 i/o r16 i/o m14 i/o p15 xtl2(in)-i/o n14 gnd r15 reset p14 vcc pga pin number xc3090a, xc3195a r14 done-pg n13 d7-i/o t14 xtl1(out)-bclkin-i/o p13 i/o r13 i/o t13 i/o n12 i/o p12 d6-i/o r12 i/o t12 i/o p11 i/o n11 i/o r11 i/o t11 d5-i/o r10 cs0 -i/o p10 i/o n10 i/o t10 i/o t9 i/o r9 d4-i/o p9 i/o n9 vcc n8 gnd p8 d3-i/o r8 cs1 -i/o t8 i/o t7 i/o n7 i/o p7 i/o r7 d2-i/o t6 i/o r6 i/o n6 i/o p6 i/o t5 i/o r5 d1-i/o p5 rdy/busy -rclk -i/o n5 i/o t4 i/o r4 i/o p4 i/o r3 d0-din-i/o pga pin number xc3090a, xc3195a n4 dout-i/o r2 cclk p3 vcc n3 gnd p2 a0-ws -i/o m3 a1-cs2-i/o r1 i/o n2 i/o p1 a2-i/o n1 a3-i/o l3 i/o m2 i/o m1 a15-i/o l2 a4-i/o l1 i/o k3 i/o k2 a14-i/o k1 a5-i/o j1 i/o j2 i/o j3 gnd h3 vcc h2 a13-i/o h1 a6-i/o g1 i/o g2 i/o g3 i/o f1 i/o f2 a12-i/o e1 a7-i/o e2 i/o f3 i/o d1 a11-i/o c1 a8-i/o d2 i/o b1 i/o e3 a10-i/o c2 a9-i/o d3 vcc c3 gnd pga pin number xc3090a, xc3195a
r xc3000 series field programmable gate arrays 7-74 november 9, 1998 (version 3.1) xc3000 series 176-pin tqfp pinouts xc3000a, xc3000l, xc3100a, and xc3100l families have identical pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed outputs are default slew-rate limited. pin number xc3090a 1pwrdwn 2 tclkin-i/o 3 i/o 4 i/o 5 i/o 6 i/o 7 i/o 8 i/o 9 i/o 10 i/o 11 i/o 12 i/o 13 i/o 14 i/o 15 i/o 16 i/o 17 i/o 18 i/o 19 i/o 20 i/o 21 i/o 22 gnd 23 vcc 24 i/o 25 i/o 26 i/o 27 i/o 28 i/o 29 i/o 30 i/o 31 i/o 32 i/o 33 i/o 34 i/o 35 i/o 36 i/o 37 i/o 38 i/o 39 i/o 40 i/o 41 i/o 42 i/o 43 i/o 44 C 45 m1-rdata 46 gnd 47 m0-rtrig 48 vcc 49 m2-i/o 50 hdc-i/o 51 i/o 52 i/o 53 i/o 54 ldc -i/o 55 C 56 i/o 57 i/o 58 i/o 59 i/o 60 i/o 61 i/o 62 i/o 63 i/o 64 i/o 65 init -i/o 66 vcc 67 gnd 68 i/o 69 i/o 70 i/o 71 i/o 72 i/o 73 i/o 74 i/o 75 i/o 76 i/o 77 i/o 78 i/o 79 i/o 80 i/o 81 i/o 82 C 83 C 84 i/o 85 xtal2(in)-i/o 86 gnd 87 reset 88 vcc pin number xc3090a 89 done-pg 90 d7-i/o 91 xtal1(out)-bclkin-i/o 92 i/o 93 i/o 94 i/o 95 i/o 96 d6-i/o 97 i/o 98 i/o 99 i/o 100 i/o 101 i/o 102 d5-i/o 103 cs0 -i/o 104 i/o 105 i/o 106 i/o 107 i/o 108 d4-i/o 109 i/o 110 vcc 111 gnd 112 d3-i/o 113 cs1 -i/o 114 i/o 115 i/o 116 i/o 117 i/o 118 d2-i/o 119 i/o 120 i/o 121 i/o 122 i/o 123 i/o 124 d1-i/o 125 rdy/busy -rclk -i/o 126 i/o 127 i/o 128 i/o 129 i/o 130 d0-din-i/o 131 dout-i/o 132 cclk pin number xc3090a 133 vcc 134 gnd 135 a0-ws -i/o 136 a1-cs2-i/o 137 C 138 i/o 139 i/o 140 a2-i/o 141 a3-i/o 142 C 143 C 144 i/o 145 i/o 146 a15-i/o 147 a4-i/o 148 i/o 149 i/o 150 a14-i/o 151 a5-i/o 152 i/o 153 i/o 154 gnd 155 vcc 156 a13-i/o 157 a6-i/o 158 i/o 159 i/o 160 C 161 C 162 i/o 163 i/o 164 a12-i/o 165 a7-i/o 166 i/o 167 i/o 168 C 169 a11-i/o 170 a8-i/o 171 i/o 172 i/o 173 a10-i/o 174 a9-i/o 175 vcc 176 gnd pin number xc3090a
r november 9, 1998 (version 3.1) 7-75 xc3000 series field programmable gate arrays 7 xc3000 series 208-pin pqfp pinouts xc3000a, and xc3000l families have identical pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed outputs are default slew-rate limited. * in pq208, xc3090a and xc3195a have different pinouts. pin number xc3090a 1 C 2gnd 3pwrdwn 4 tclkin-i/o 5 i/o 6 i/o 7 i/o 8 i/o 9 i/o 10 i/o 11 i/o 12 i/o 13 i/o 14 i/o 15 C 16 i/o 17 i/o 18 i/o 19 i/o 20 i/o 21 i/o 22 i/o 23 i/o 24 i/o 25 gnd 26 vcc 27 i/o 28 i/o 29 i/o 30 i/o 31 i/o 32 i/o 33 i/o 34 i/o 35 i/o 36 i/o 37 C 38 i/o 39 i/o 40 i/o 41 i/o 42 i/o 43 i/o 44 i/o 45 i/o 46 i/o 47 i/o 48 m1-rdata 49 gnd 50 m0-rtrig 51 C 52 C 53 C 54 C 55 vcc 56 m2-i/o 57 hdc-i/o 58 i/o 59 i/o 60 i/o 61 ldc -i/o 62 i/o 63 i/o 64 C 65 C 66 C 67 C 68 i/o 69 i/o 70 i/o 71 i/o 72 C 73 C 74 i/o 75 i/o 76 i/o 77 init -i/o 78 vcc 79 gnd 80 i/o 81 i/o 82 i/o 83 C 84 C 85 i/o 86 i/o 87 i/o 88 i/o 89 i/o 90 C 91 C 92 C 93 i/o 94 i/o 95 i/o 96 i/o 97 i/o 98 i/o 99 i/o 100 xtl2-i/o 101 gnd 102 reset 103 C 104 C pin number xc3090a 105 C 106 vcc 107 d/p 108 C 109 d7-i/o 110 xtl1-bclkin-i/o 111 i/o 112 i/o 113 i/o 114 i/o 115 d6-i/o 116 i/o 117 i/o 118 i/o 119 C 120 i/o 121 i/o 122 d5-i/o 123 cs0 -i/o 124 i/o 125 i/o 126 i/o 127 i/o 128 d4-i/o 129 i/o 130 vcc 131 gnd 132 d3-i/o 133 cs1 -i/o 134 i/o 135 i/o 136 i/o 137 i/o 138 d2-i/o 139 i/o 140 i/o 141 i/o 142 C 143 i/o 144 i/o 145 d1-i/o 146 rdy/busy -rclk -i/o 147 i/o 148 i/o 149 i/o 150 i/o 151 din-d0-i/o 152 dout-i/o 153 cclk 154 vcc 155 C 156 C pin number xc3090a 157 C 158 C 159 C 160 gnd 161 ws -a0-i/o 162 cs2-a1-i/o 163 i/o 164 i/o 165 a2-i/o 166 a3-i/o 167 i/o 168 i/o 169 C 170 C 171 C 172 a15-i/o 173 a4-i/o 174 i/o 175 i/o 176 C 177 C 178 a14-i/o 179 a5-i/o 180 i/o 181 i/o 182 gnd 183 vcc 184 a13-i/o 185 a6-i/o 186 i/o 187 i/o 188 C 189 C 190 i/o 191 i/o 192 a12-i/o 193 a7-i/o 194 C 195 C 196 C 197 i/o 198 i/o 199 a11-i/o 200 a8-i/o 201 i/o 202 i/o 203 a10-i/o 204 a9-i/o 205 vcc 206 C 207 C 208 C pin number xc3090a
r xc3000 series field programmable gate arrays 7-76 november 9, 1998 (version 3.1) xc3195a pq208 pinouts unprogrammed iobs have a default pull-up. this prevents an undefined pad level for unbonded or unused iobs. programmed outputs are default slew-rate limited. in the pq208 package, pins 15, 16, 64, 65, 90, 91, 142, 143, 170 and 195 are not connected. * in pq208, xc3090a and xc3195a have different pinouts. pin description pq208 a9-i/o 206 a10-i/o 205 i/o 204 i/o 203 i/o 202 i/o 201 a8-i/o 200 a11-i/o 199 i/o 198 i/o 197 i/o 196 i/o 194 a7-i/o 193 a12-i/o 192 i/o 191 i/o 190 i/o 189 i/o 188 i/o 187 i/o 186 a6-i/o 185 a13-i/o 184 vcc 183 gnd 182 i/o 181 i/o 180 a5-i/o 179 a14-i/o 178 i/o 177 i/o 176 i/o 175 i/o 174 a4-i/o 173 a15-i/o 172 i/o 171 i/o 169 i/o 168 i/o 167 a3-i/o 166 a2-i/o 165 i/o 164 i/o 163 i/o 162 i/o 161 a1-cs2-i/o 160 a0-ws -i/o 159 gnd 158 vcc 157 cclk 156 dout-i/o 155 d0-din-i/o 154 i/o 153 i/o 152 i/o 151 i/o 150 rdy/busy-rclk-i/o 149 d1-i/o 148 i/o 147 i/o 146 i/o 145 i/o 144 i/o 141 i/o 140 i/o 139 d2-i/o 138 i/o 137 i/o 136 i/o 135 i/o 134 cs1 -i/o 133 d3-i/o 132 gnd 131 vcc 130 i/o 129 d4-i/o 128 i/o 127 i/o 126 i/o 125 i/o 124 cs0 -i/o 123 d5-i/o 122 i/o 121 i/o 120 i/o 119 i/o 118 i/o 117 i/o 116 i/o 115 d6-i/o 114 i/o 113 i/o 112 i/o 111 i/o 110 xtlx1(out)bclkn-i/o 109 d7-i/o 108 d/p 107 vcc 106 reset 105 gnd 104 xtl2(in)-i/o 103 pin description pq208 i/o 102 i/o 101 i/o 100 i/o 99 i/o 98 i/o 97 i/o 96 i/o 95 i/o 94 i/o 93 i/o 92 i/o 89 i/o 88 i/o 87 i/o 86 i/o 85 i/o 84 i/o 83 i/o 82 i/o 81 i/o 80 gnd 79 vcc 78 init 77 i/o 76 i/o 75 i/o 74 i/o 73 i/o 72 i/o 71 i/o 70 i/o 69 i/o 68 i/o 67 i/o 66 i/o 63 i/o 62 i/o 61 i/o 60 ldc -i/o 59 i/o 58 i/o 57 i/o 56 hdc-i/o 55 m2-i/o 54 vcc 53 m0-rtig 52 gnd 51 m1/rdata 50 i/o 49 pin description pq208 i/o 48 i/o 47 i/o 46 i/o 45 i/o 44 i/o 43 i/o 42 i/o 41 i/o 40 i/o 39 i/o 38 i/o 37 i/o 36 i/o 35 i/o 34 i/o 33 i/o 32 i/o 31 i/o 30 i/o 29 i/o 28 vcc 27 gnd 26 i/o 25 i/o 24 i/o 23 i/o 22 i/o 21 i/o 20 i/o 19 i/o 18 i/o 17 i/o 14 i/o 13 i/o 12 i/o 11 i/o 10 i/o 9 i/o 8 i/o 7 i/o 6 i/o 5 i/o 4 i/o 3 tclkin-i/o 2 pwrdn 1 gnd 208 vcc 207 pin description pq208
r november 9, 1998 (version 3.1) 7-77 xc3000 series field programmable gate arrays 7 product availability pins 44 64 68 84 100 132 144 160 175 176 208 type plast. plcc plast. vqfp plast. plcc plast. plcc cer. pga plast. pqfp plast. tqfp plast. vqfp plast. pga cer. pga plast. tqfp plast. pqfp plast. pga cer. pga plast. tqfp plast. pqfp code pc44 vq64 pc68 pc84 pg84 pq100 tq100 vq100 pp132 pg132 tq144 pq160 pp175 pg175 tq176 pq208 xc3020a -7 ci ci ci -6 c c c xc3030a -7 ci ci ci ci ci ci -6cccc c c xc3042a -7 ci ci ci ci ci ci -6 c c c c c c xc3064a -7 ci ci ci ci ci -6 c c c c c xc3090a -7 ci ci ci ci ci ci ci -6 c c c c c c c xc3020l -8 ci xc3030l -8 ci ci ci xc3042l -8 ci ci ci xc3064l -8 ci ci xc3090l -8 ci ci ci xc3120a -4 ci ci ci -3 ci ci ci -2 ci ci ci -1 c c c -09 c c c xc3130a -4 ci ci ci ci ci ci -3 ci ci ci ci ci ci -2 ci ci ci ci ci ci -1cccc c c -09cccccc xc3142a -4 ci ci c ci -3 ci ci ci ci -2 ci ci ci ci -1 ccc c -09 ccc c xc3164a -4 ci ci ci -3 ci ci ci -2 ci ci ci -1 c c c -09 c c c xc3190a -4 ci ci ci ci ci ci ci -3 ci ci ci ci ci ci ci -2 ci ci ci ci ci ci ci -1 c c c c c c c -09 c cccccc xc3195a -4 ci ci ci ci ci -3 ci ci ci ci ci -2 ci ci ci ci ci -1 c c c c c -09 c c c c c
r xc3000 series field programmable gate arrays 7-78 november 9, 1998 (version 3.1) number of available i/o pins ordering information revision history xc3142l ccc ccc xc3190l ccc ccc notes: c = commercial, t j = 0 to +85 c i = industrial, t j = -40 to +100 c pins 44 64 68 84 100 132 144 160 175 176 208 type plast. plcc plast. vqfp plast. plcc plast. plcc cer. pga plast. pqfp plast. tqfp plast. vqfp plast. pga cer. pga plast. tqfp plast. pqfp plast. pga cer. pga plast. tqfp plast. pqfp code pc44 vq64 pc68 pc84 pg84 pq100 tq100 vq100 pp132 pg132 tq144 pq160 pp175 pg175 tq176 pq208 number of package pins max i/o 44 64 68 84 100 132 144 160 175 176 208 xc3020a/xc3120a 64 58 64 64 xc3030a/xc3130a 80 34 54 58 74 80 xc3042a/3142a 96 74 82 96 96 xc2064a/xc3164a 120 70 110 120 120 xc3090a/xc3190a 144 70 122 138 144 144 144 xc3195a 176 70 138 144 176 xc3030a-3 pc44c example: device type speed grade temperature range number of pins package type date revision 11/98 revised version number to 3.1, removed xc3100a-5 obsolete packages.


▲Up To Search▲   

 
Price & Availability of XC3042A-6PC84C

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X